From 01d9451d4becc534eff5b49d9c42596bec6cd3bd Mon Sep 17 00:00:00 2001 From: Nick Gasson Date: Mon, 24 Jan 2022 14:27:50 +0800 Subject: [PATCH] Sync release notes from 1.5 branch --- Makefile.am | 1 + NEWS.md | 41 ++++++++++++++++++++++++++++++++++++++--- www/defs.m4 | 3 ++- www/download.html | 2 +- www/index.html | 2 +- www/news.html | 38 +++++++++++++++++++++++++++++++++++--- 6 files changed, 78 insertions(+), 9 deletions(-) diff --git a/Makefile.am b/Makefile.am index a01624a6..652bec4e 100644 --- a/Makefile.am +++ b/Makefile.am @@ -22,6 +22,7 @@ check_LIBRARIES = noinst_PROGRAMS = pkgdata_DATA = CLEANFILES = +DISTCLEANFILES = BOOTSTRAPLIBS = LIBDIRS = lib_LIBRARIES = diff --git a/NEWS.md b/NEWS.md index 6812c11d..73a169ab 100644 --- a/NEWS.md +++ b/NEWS.md @@ -11,9 +11,8 @@ - Added support for ports and generics in block statements. - Added support for the 'BASE attribute. - Type name now allowed in element association choice (#407). -- Implement textio READ procedure for BIT, TIME, and REAL (#408). +- Implement textio READ procedure for REAL. - LLVM 6.0 or later required to build. -- Fixed a crash when a long running procedure suspends in a loop (#412). - Fixed a stack overflow when a subprogram with unconstrained array arguments is called repeatedly in a loop (#414). - Compiled VHDL code now includes DWARF debug information which is used @@ -34,7 +33,6 @@ - VHDL-2008 IEEE standard libraries are now built and installed in addition to the VHDL-1993 libraries. - Variable assignment now supports aggregate targets. -- Fix a crash when using 'VALUE with enumeration subtypes (#419). - The `--codegen` command, which has been deprecated since 1.3, was removed. - The `--profile` option now prints internal simulation statistics @@ -79,6 +77,43 @@ [https://www.nickg.me.uk/nvc/](https://www.nickg.me.uk/nvc/). Please link to this in preference to the GitHub project page. +## Version 1.5.3 - 2021-11-13 +- Handle access(2) returning EPERM in macOS sandbox (#421). +- Fix race when multiple processes concurrently update a library. +- Fix `--syntax` command when file contains multiple design units. +- Allow constant folding of nand/nor/xor/xnor. +- Fix potential out of memory condition when evaluating complex assert + expressions. +- Fix incorrect result of `mod` operator with negative operands. +- Fixed intermittent crash when evaluating nested constant records + (#425). +- Buffer too small for printing TIME'HIGH (#98). + +## Version 1.5.2 - 2021-07-28 +- Link libexecinfo on FreeBSD. +- Implement textio READ procedure for BIT and TIME (#408). +- Fixed a crash when a long running procedure suspends in a loop (#412). +- Fix static linking with LLVM 12.0. +- Fix crash when assigning to a signal declared in a package. +- Fix incorrect recording of dependencies which caused a failure to load + generated DLLs on Windows. +- Fix file locking error when a library is located on NFS (#417). +- Optimise loading large library index from disk. +- Fix a crash when using 'VALUE with enumeration subtypes (#419). +- Fix a crash when a signal with more than 256 elements is declared in a + package (#420). + +## Version 1.5.1 - 2021-04-09 +- Fix a compiler warning in vcode.c. +- Disable VHDL backtrace on non-Linux systems as the symbol names cannot + be parsed reliably (#385). +- Update to latest `pc_from_uncontext.m4` for Apple M1 support. +- Fix incorrect application of LRM rules for building an equivalent wait + statement for concurrent statements. +- Library build is now reproducible when running `make -j` (#409). +- Fix assertion failure with nested record type (#404). +- Use Pandoc to generate the manual page. + ## Version 1.5 - 2020-07-19 - IEEE library sources are now distributed - Updated FST library to match GtkWave 3.3.79 diff --git a/www/defs.m4 b/www/defs.m4 index 13eb5578..07e4552a 100644 --- a/www/defs.m4 +++ b/www/defs.m4 @@ -1,6 +1,7 @@ define(__version, `1.5.3')dnl define(__release_date, `13th November 2021')dnl -define(__release_notes, `news.html#version-1.5---2020-07-19')dnl +define(__release_date_short, `2021-11-13')dnl +define(__release_notes, `news.html#version-'__version`---'__release_date_short)dnl define(__github_url, `https://github.com/nickg/nvc')dnl define(__sourcehut_url, `https://git.sr.ht/~nickg/nvc')dnl define(__download_base, `https://www.nickg.me.uk/files')dnl diff --git a/www/download.html b/www/download.html index d5d86299..69bd2744 100644 --- a/www/download.html +++ b/www/download.html @@ -28,7 +28,7 @@

Download

The most recent version is 1.5.3, released on 13th November 2021 - (release notes). + (release notes).

nvc-1.5.3.tar.gz diff --git a/www/index.html b/www/index.html index d5440069..20786577 100644 --- a/www/index.html +++ b/www/index.html @@ -43,7 +43,7 @@

The most recent version is 1.5.3, released on 13th November 2021 - (release notes). + (release notes).

Contact

diff --git a/www/news.html b/www/news.html index 9d4500bb..0e90338b 100644 --- a/www/news.html +++ b/www/news.html @@ -35,9 +35,8 @@
  • Added support for ports and generics in block statements.
  • Added support for the ’BASE attribute.
  • Type name now allowed in element association choice (#407).
  • -
  • Implement textio READ procedure for BIT, TIME, and REAL (#408).
  • +
  • Implement textio READ procedure for REAL.
  • LLVM 6.0 or later required to build.
  • -
  • Fixed a crash when a long running procedure suspends in a loop (#412).
  • Fixed a stack overflow when a subprogram with unconstrained array arguments is called repeatedly in a loop (#414).
  • Compiled VHDL code now includes DWARF debug information which is used for runtime stack trace if libdw or libdwarf is installed.
  • Added support for MINIMUM, MAXIMUM, and TO_STRING predefined operators in VHDL-2008.
  • @@ -49,7 +48,6 @@
  • Added support for VHDL-2008 reduction operators, match operators, and condition conversion.
  • VHDL-2008 IEEE standard libraries are now built and installed in addition to the VHDL-1993 libraries.
  • Variable assignment now supports aggregate targets.
  • -
  • Fix a crash when using ’VALUE with enumeration subtypes (#419).
  • The --codegen command, which has been deprecated since 1.3, was removed.
  • The --profile option now prints internal simulation statistics instead of the top processes by CPU time.
  • The elaboration phase was largely written which fixes a number of long-standing issues and significantly improves elaboration speed.
  • @@ -77,6 +75,40 @@
  • Added support for record types in waveform dump (#216).
  • There is now a project website at https://www.nickg.me.uk/nvc/. Please link to this in preference to the GitHub project page.
  • +

    Version 1.5.3 - 2021-11-13

    + +

    Version 1.5.2 - 2021-07-28

    + +

    Version 1.5.1 - 2021-04-09

    +

    Version 1.5 - 2020-07-19