From 6b5640f6e3a141a6e99fadc2126a68c6304a532a Mon Sep 17 00:00:00 2001 From: Nick Gasson Date: Tue, 1 Aug 2023 14:06:31 +0100 Subject: [PATCH] Fix unit tests --- test/simp/allsens.vhd | 2 +- test/test_bounds.c | 48 ++++++-------------------- test/test_elab.c | 5 ++- test/test_jit.c | 59 +++++++++++++++----------------- test/test_lower.c | 79 ++++++++++++++++++++++++++++++++++++------- test/test_simp.c | 14 ++++---- test/test_util.c | 7 ++-- test/test_util.h | 12 ++----- 8 files changed, 120 insertions(+), 106 deletions(-) diff --git a/test/simp/allsens.vhd b/test/simp/allsens.vhd index 2e941ac3..8ec9fed5 100644 --- a/test/simp/allsens.vhd +++ b/test/simp/allsens.vhd @@ -56,7 +56,7 @@ begin begin case x is when '0' => - v(0) <= v(1); + v(2) <= v(1); when '1' => proc(y); end case; diff --git a/test/test_bounds.c b/test/test_bounds.c index 7dbcb2d7..06809cec 100644 --- a/test/test_bounds.c +++ b/test/test_bounds.c @@ -394,10 +394,8 @@ START_TEST(test_issue98) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -440,10 +438,8 @@ START_TEST(test_aggregate) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -453,13 +449,8 @@ START_TEST(test_osvvm1) set_standard(STD_08); input_from_file(TESTDIR "/bounds/osvvm1.vhd"); - tree_t p = parse_check_and_simplify(T_PACKAGE, -1); - bounds_check(p); - - tree_t b = parse_check_and_simplify(T_PACK_BODY); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); - bounds_check(b); fail_if_errors(); } END_TEST @@ -475,10 +466,8 @@ START_TEST(test_range1) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -494,10 +483,8 @@ START_TEST(test_case2) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -508,7 +495,6 @@ START_TEST(test_issue477a) input_from_file(TESTDIR "/bounds/issue477a.vhd"); tree_t p = parse_check_and_simplify(T_PACKAGE); - bounds_check(p); tree_t d = search_decls(p, ident_new("C_DATA_VERSION"), 0); fail_if(d == NULL); @@ -535,10 +521,8 @@ START_TEST(test_issue477b) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_PACKAGE); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_PACKAGE); - bounds_check(a); check_expected_errors(); } END_TEST @@ -553,10 +537,8 @@ START_TEST(test_case3) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -573,10 +555,8 @@ START_TEST(test_driver1) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -585,10 +565,8 @@ START_TEST(test_nullrange) { input_from_file(TESTDIR "/bounds/nullrange.vhd"); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); fail_if_errors(); } END_TEST @@ -604,10 +582,8 @@ START_TEST(test_issue617) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST @@ -623,10 +599,8 @@ START_TEST(test_issue734) }; expect_errors(expect); - tree_t a = parse_check_and_simplify(T_ENTITY, T_ARCH); - fail_unless(error_count() == 0); + parse_check_and_simplify(T_ENTITY, T_ARCH); - bounds_check(a); check_expected_errors(); } END_TEST diff --git a/test/test_elab.c b/test/test_elab.c index f7b63535..b6d980a8 100644 --- a/test/test_elab.c +++ b/test/test_elab.c @@ -303,7 +303,7 @@ START_TEST(test_issue159) lib_t other = lib_tmp("dummy"); lib_set_work(other); - parse_check_simplify_and_lower(T_PACKAGE, T_ENTITY, T_ARCH, -1); + parse_check_and_simplify(T_PACKAGE, T_ENTITY, T_ARCH, -1); fail_if_errors(); lib_set_work(work); @@ -318,8 +318,7 @@ START_TEST(test_issue175) lib_t lib2 = lib_tmp("lib2"); lib_set_work(lib2); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, T_PACKAGE, - T_PACK_BODY, -1); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, T_PACKAGE, T_PACK_BODY, -1); fail_if_errors(); lib_t lib = lib_tmp("lib"); diff --git a/test/test_jit.c b/test/test_jit.c index c619ad56..685bd030 100644 --- a/test/test_jit.c +++ b/test/test_jit.c @@ -107,7 +107,7 @@ START_TEST(test_add1) { input_from_file(TESTDIR "/jit/add1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -128,7 +128,7 @@ START_TEST(test_fact) { input_from_file(TESTDIR "/jit/fact.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -148,7 +148,7 @@ START_TEST(test_sum) { input_from_file(TESTDIR "/jit/sum.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -199,7 +199,7 @@ START_TEST(test_context1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -242,8 +242,7 @@ START_TEST(test_record1) { input_from_file(TESTDIR "/jit/record1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, - T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -267,8 +266,7 @@ START_TEST(test_record2) { input_from_file(TESTDIR "/jit/record2.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, - T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -292,8 +290,7 @@ START_TEST(test_record3) { input_from_file(TESTDIR "/jit/record3.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, - T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -365,7 +362,7 @@ START_TEST(test_overflow) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -415,7 +412,7 @@ START_TEST(test_record4) { input_from_file(TESTDIR "/jit/record4.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -449,7 +446,7 @@ START_TEST(test_access1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -493,7 +490,7 @@ START_TEST(test_array1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -549,7 +546,7 @@ START_TEST(test_relop1) { input_from_file(TESTDIR "/jit/relop1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -582,9 +579,9 @@ START_TEST(test_proc1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, - T_PACKAGE, T_PACK_BODY, - T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, + T_PACKAGE, T_PACK_BODY, + T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -604,7 +601,7 @@ START_TEST(test_packsignal) { input_from_file(TESTDIR "/jit/packsignal.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -626,7 +623,7 @@ START_TEST(test_unreachable) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -655,7 +652,7 @@ START_TEST(test_arith1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -740,7 +737,7 @@ START_TEST(test_assert1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -762,7 +759,7 @@ START_TEST(test_case1) { input_from_file(TESTDIR "/jit/case1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -790,7 +787,7 @@ START_TEST(test_real1) { input_from_file(TESTDIR "/jit/real1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -808,7 +805,7 @@ START_TEST(test_prot1) input_from_file(TESTDIR "/jit/prot1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -932,7 +929,7 @@ START_TEST(test_range1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -972,7 +969,7 @@ START_TEST(test_trace1) diag_set_consumer(trace1_diag_fn, NULL); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -992,7 +989,7 @@ START_TEST(test_issue496) input_from_file(TESTDIR "/jit/issue496.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACKAGE); + parse_check_and_simplify(T_PACKAGE, T_PACKAGE); jit_t *j = jit_new(get_registry()); @@ -1063,7 +1060,7 @@ START_TEST(test_value1) }; expect_errors(expect); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -1461,7 +1458,7 @@ START_TEST(test_issue575) { input_from_file(TESTDIR "/jit/issue575.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); @@ -1771,7 +1768,7 @@ START_TEST(test_tlab1) input_from_file(TESTDIR "/jit/tlab1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); jit_t *j = jit_new(get_registry()); diff --git a/test/test_lower.c b/test/test_lower.c index 0e219d4c..7d40d543 100644 --- a/test/test_lower.c +++ b/test/test_lower.c @@ -883,7 +883,7 @@ START_TEST(test_pack1) { input_from_file(TESTDIR "/lower/pack1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t v0 = find_unit("WORK.PACK1.ADD1(I)I"); vcode_select_unit(v0); @@ -3873,7 +3873,7 @@ START_TEST(test_protupref) input_from_file(TESTDIR "/lower/protupref.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit( "WORK.ALERTLOGPKG.ALERTLOGSTRUCTPTYPE.ALERT(" @@ -3900,7 +3900,7 @@ START_TEST(test_closefile) { input_from_file(TESTDIR "/lower/closefile.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit("WORK.FILEPACK.TEST"); vcode_select_unit(vu); @@ -4344,7 +4344,19 @@ START_TEST(test_issue444) { input_from_file(TESTDIR "/lower/issue444.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); + + vcode_unit_t vu1 = find_unit("WORK.ISSUE444.PROC(I)"); + vcode_select_unit(vu1); + + ck_assert_int_eq(vcode_count_vars(), 2); + fail_unless(vcode_var_flags(1) & VAR_TEMP); + + vcode_unit_t vu2 = find_unit("WORK.ISSUE444.PROC(I).T$value"); + vcode_select_unit(vu2); + + ck_assert_int_eq(vcode_count_vars(), 1); + fail_unless(vcode_var_flags(0) & VAR_TEMP); fail_if_errors(); } @@ -4355,7 +4367,48 @@ START_TEST(test_vunit1) set_standard(STD_02); input_from_file(TESTDIR "/lower/vunit1.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); + + vcode_unit_t vu = find_unit("WORK.STRING_PTR_PKG.PROT_STORAGE_T.SET(NPC)"); + vcode_select_unit(vu); + + EXPECT_BB(3) = { + { VCODE_OP_VAR_UPREF, .name = "ST", .hops = 1 }, + { VCODE_OP_RECORD_REF, .field = 5 }, + { VCODE_OP_LOAD_INDIRECT }, + { VCODE_OP_DEBUG_LOCUS }, + { VCODE_OP_NULL_CHECK }, + { VCODE_OP_ALL }, + { VCODE_OP_LOAD_INDIRECT }, + { VCODE_OP_INDEX, .name = "S" }, + { VCODE_OP_RECORD_REF, .field = 0 }, + { VCODE_OP_LOAD_INDIRECT }, + { VCODE_OP_UARRAY_LEFT }, + { VCODE_OP_CAST }, + { VCODE_OP_UARRAY_RIGHT }, + { VCODE_OP_CAST }, + { VCODE_OP_UARRAY_DIR }, + { VCODE_OP_DEBUG_LOCUS }, + { VCODE_OP_INDEX_CHECK }, + { VCODE_OP_SUB }, + { VCODE_OP_SUB }, + { VCODE_OP_SELECT }, + { VCODE_OP_CAST }, + { VCODE_OP_UNWRAP }, + { VCODE_OP_ARRAY_REF }, + { VCODE_OP_LOAD_INDIRECT }, + { VCODE_OP_DEBUG_LOCUS }, + { VCODE_OP_NULL_CHECK }, + { VCODE_OP_ALL }, + { VCODE_OP_CONST, .value = 1 }, + { VCODE_OP_SUB }, + { VCODE_OP_CAST }, + { VCODE_OP_ARRAY_REF }, + { VCODE_OP_STORE_INDIRECT }, + { VCODE_OP_JUMP, .target = 1 }, + }; + + CHECK_BB(3); fail_if_errors(); } @@ -4365,7 +4418,7 @@ START_TEST(test_vunit2) { input_from_file(TESTDIR "/lower/vunit2.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit( "WORK.VUNIT2.GET_ONE(N)19WORK.VUNIT2.INT_PTR"); @@ -4395,7 +4448,7 @@ START_TEST(test_vunit3) { input_from_file(TESTDIR "/lower/vunit3.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit("WORK.VUNIT3.ALLOC_REG()19WORK.VUNIT3.REC_PTR"); vcode_select_unit(vu); @@ -4421,7 +4474,7 @@ START_TEST(test_vunit4) { input_from_file(TESTDIR "/lower/vunit4.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit("WORK.VUNIT4.GET_REC(N)15WORK.VUNIT4.REC"); vcode_select_unit(vu); @@ -4687,7 +4740,7 @@ START_TEST(test_issue476) input_from_file(TESTDIR "/lower/issue476.vhd"); - parse_check_simplify_and_lower(T_PACKAGE); + parse_check_and_simplify(T_PACKAGE); fail_if_errors(); } @@ -4699,7 +4752,7 @@ START_TEST(test_issue478) input_from_file(TESTDIR "/lower/issue478.vhd"); - parse_check_simplify_and_lower(T_PACKAGE); + parse_check_and_simplify(T_PACKAGE); vcode_unit_t vu = find_unit("WORK.TEST_PKG"); vcode_select_unit(vu); @@ -4805,7 +4858,7 @@ START_TEST(test_bigarray) { input_from_file(TESTDIR "/lower/bigarray.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit("WORK.BIGARRAY.GET_ARRAY()21WORK.BIGARRAY.INT_VEC"); @@ -5128,7 +5181,7 @@ START_TEST(test_issue725) input_from_file(TESTDIR "/lower/issue725.vhd"); - parse_check_simplify_and_lower(T_PACKAGE); + parse_check_and_simplify(T_PACKAGE); fail_if_errors(); } @@ -5140,7 +5193,7 @@ START_TEST(test_cond2) input_from_file(TESTDIR "/lower/cond2.vhd"); - parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY); + parse_check_and_simplify(T_PACKAGE, T_PACK_BODY); vcode_unit_t vu = find_unit("WORK.COND2.DUMMY_LOOP(Q)"); vcode_select_unit(vu); diff --git a/test/test_simp.c b/test/test_simp.c index 1ea49578..8fb62358 100644 --- a/test/test_simp.c +++ b/test/test_simp.c @@ -313,8 +313,8 @@ START_TEST(test_ffold) { input_from_file(TESTDIR "/simp/ffold.vhd"); - tree_t a = parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, - T_ENTITY, T_ARCH); + tree_t a = parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, + T_ENTITY, T_ARCH); fail_if_errors(); tree_t b = tree_stmt(a, 0); @@ -363,8 +363,8 @@ START_TEST(test_ffold2) { input_from_file(TESTDIR "/simp/ffold2.vhd"); - tree_t a = parse_check_simplify_and_lower(T_PACKAGE, T_PACK_BODY, - T_ENTITY, T_ARCH); + tree_t a = parse_check_and_simplify(T_PACKAGE, T_PACK_BODY, + T_ENTITY, T_ARCH); tree_t b = tree_stmt(a, 0); fail_unless(tree_kind(b) == T_BLOCK); @@ -1055,7 +1055,7 @@ START_TEST(test_order1) { input_from_file(TESTDIR "/simp/order1.vhd"); - tree_t p = parse_check_simplify_and_lower(T_PACKAGE, T_PACKAGE); + tree_t p = parse_check_and_simplify(T_PACKAGE, T_PACKAGE); tree_t x = search_decls(p, ident_new("X"), 0); fail_if(x == NULL); @@ -1069,8 +1069,8 @@ START_TEST(test_genmap) { input_from_file(TESTDIR "/simp/genmap.vhd"); - tree_t a = parse_check_simplify_and_lower(T_ENTITY, T_PACKAGE, T_ENTITY, - T_ENTITY, T_ARCH); + tree_t a = parse_check_and_simplify(T_ENTITY, T_PACKAGE, T_ENTITY, + T_ENTITY, T_ARCH); tree_t u1 = tree_stmt(a, 0); fail_unless(tree_genmaps(u1) == 2); diff --git a/test/test_util.c b/test/test_util.c index 29a6e126..59f69c20 100644 --- a/test/test_util.c +++ b/test/test_util.c @@ -149,8 +149,7 @@ tree_t run_elab(void) return top; } -tree_t _parse_and_check(const tree_kind_t *array, int num, - bool simp, bool lower) +tree_t _parse_and_check(const tree_kind_t *array, int num, bool simp) { jit_t *jit = NULL; tree_t last = NULL; @@ -179,10 +178,8 @@ tree_t _parse_and_check(const tree_kind_t *array, int num, unit_registry_purge(ur, tree_ident(last)); simplify_local(last, jit, ur); - } - - if (lower && error_count() == 0) bounds_check(last); + } } fail_unless(parse() == NULL); diff --git a/test/test_util.h b/test/test_util.h index efa21a02..7c98ee18 100644 --- a/test/test_util.h +++ b/test/test_util.h @@ -54,17 +54,12 @@ #define parse_and_check(...) ({ \ static const tree_kind_t array[] = { __VA_ARGS__ }; \ - _parse_and_check(array, ARRAY_LEN(array), false, false); \ + _parse_and_check(array, ARRAY_LEN(array), false); \ }) #define parse_check_and_simplify(...) ({ \ static const tree_kind_t array[] = { __VA_ARGS__ }; \ - _parse_and_check(array, ARRAY_LEN(array), true, false); \ - }) - -#define parse_check_simplify_and_lower(...) ({ \ - static const tree_kind_t array[] = { __VA_ARGS__ }; \ - _parse_and_check(array, ARRAY_LEN(array), true, true); \ + _parse_and_check(array, ARRAY_LEN(array), true); \ }) typedef struct { @@ -79,7 +74,6 @@ TCase *nvc_unit_test(void); int nvc_run_test(Suite *s); unit_registry_t *get_registry(void); tree_t run_elab(void); -tree_t _parse_and_check(const tree_kind_t *array, int num, - bool simp, bool lower); +tree_t _parse_and_check(const tree_kind_t *array, int num, bool simp); #endif // _TEST_UTIL_H -- 2.39.2