From d89009d836faad116bc0599f9b2030c6ae2613d2 Mon Sep 17 00:00:00 2001 From: Nick Gasson Date: Tue, 13 Feb 2024 21:01:05 +0000 Subject: [PATCH] Adjust report and assert message format. Fixes #849 --- src/diag.c | 73 +++----- src/diag.h | 13 +- src/jit/jit-exits.c | 3 +- src/jit/jit-intrin.c | 2 +- src/lower.c | 1 + src/nvc.c | 1 + src/option.c | 2 + src/option.h | 1 + src/rt/Makemodule.am | 3 +- src/rt/assert.c | 67 +++++-- src/rt/assert.h | 42 +++++ src/rt/model.c | 3 +- src/rt/rt.h | 6 - src/rt/stdenv.c | 1 + test/regress/gold/access1.txt | 20 +-- test/regress/gold/alias1.txt | 60 +++---- test/regress/gold/alias2.txt | 60 +++---- test/regress/gold/alias5.txt | 4 +- test/regress/gold/assert1.txt | 6 +- test/regress/gold/assert10.txt | 2 +- test/regress/gold/assert2.txt | 2 +- test/regress/gold/assert3.txt | 2 +- test/regress/gold/assert6.txt | 18 +- test/regress/gold/assert7.txt | 60 +++---- test/regress/gold/assert8.txt | 22 +-- test/regress/gold/assert9.txt | 14 +- test/regress/gold/attr12.txt | 4 +- test/regress/gold/attr17.txt | 6 +- test/regress/gold/attr3.txt | 20 +-- test/regress/gold/case12.txt | 2 +- test/regress/gold/case13.txt | 4 +- test/regress/gold/cond1.txt | 6 +- test/regress/gold/cond2.txt | 4 +- test/regress/gold/conf1.txt | 8 +- test/regress/gold/conf2.txt | 8 +- test/regress/gold/const3.txt | 4 +- test/regress/gold/counter.txt | 12 +- test/regress/gold/debug1.txt | 6 +- test/regress/gold/debug2.txt | 20 +-- test/regress/gold/driver15.txt | 6 +- test/regress/gold/driver21.txt | 2 +- test/regress/gold/elab10.txt | 4 +- test/regress/gold/elab13.txt | 16 +- test/regress/gold/elab3.txt | 12 +- test/regress/gold/elab9.txt | 16 +- test/regress/gold/fact.txt | 24 +-- test/regress/gold/for1.txt | 22 +-- test/regress/gold/for2.txt | 20 +-- test/regress/gold/for3.txt | 320 ++++++++++++++++----------------- test/regress/gold/ieee11.txt | 8 +- test/regress/gold/ieee13.txt | 104 +++++------ test/regress/gold/ieee14.txt | 68 +++---- test/regress/gold/ieee9.txt | 10 +- test/regress/gold/image1.txt | 32 ++-- test/regress/gold/issue101.txt | 6 +- test/regress/gold/issue185.txt | 40 ++--- test/regress/gold/issue234.txt | 4 +- test/regress/gold/issue377.txt | 4 +- test/regress/gold/issue433.txt | 4 +- test/regress/gold/issue45.txt | 4 +- test/regress/gold/issue668.txt | 6 +- test/regress/gold/issue700.txt | Bin 35 -> 22 bytes test/regress/gold/issue816.txt | 2 +- test/regress/gold/proc7.txt | 12 +- test/regress/gold/proc8.txt | 16 +- test/regress/gold/psl7.txt | 6 +- test/regress/gold/range1.txt | 34 ++-- test/regress/gold/real1.txt | 12 +- test/regress/gold/real3.txt | 4 +- test/regress/gold/signal11.txt | 4 +- test/regress/gold/signal23.txt | 2 +- test/regress/gold/signal6.txt | 10 +- test/regress/gold/value4.txt | 2 +- test/regress/gold/vhpi1.txt | 8 +- test/regress/gold/wait12.txt | 6 +- test/regress/gold/wait2.txt | 4 +- test/regress/gold/wait6.txt | 8 +- test/regress/gold/while1.txt | 20 +-- test/test_jit.c | 8 +- 79 files changed, 758 insertions(+), 724 deletions(-) create mode 100644 src/rt/assert.h diff --git a/src/diag.c b/src/diag.c index fcd56949..e5cb021c 100644 --- a/src/diag.c +++ b/src/diag.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2011-2023 Nick Gasson +// Copyright (C) 2011-2024 Nick Gasson // // This program is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by @@ -91,12 +91,10 @@ typedef struct _hint_rec { void *context; } hint_rec_t; -static unsigned n_errors = 0; -static unsigned error_limit = 0; -static file_list_t loc_files; -static vhdl_severity_t exit_severity = SEVERITY_FAILURE; -static diag_level_t stderr_level = DIAG_DEBUG; -static nvc_lock_t diag_lock = 0; +static unsigned n_errors = 0; +static unsigned error_limit = 0; +static file_list_t loc_files; +static nvc_lock_t diag_lock = 0; static __thread diag_consumer_t consumer_fn = NULL; static __thread void *consumer_ctx = NULL; @@ -389,6 +387,7 @@ void loc_read(loc_t *loc, loc_rd_ctx_t *ctx) #define NOTE_PREFIX "** Note: " #define WARNING_PREFIX "$yellow$** Warning:$$ " #define ERROR_PREFIX "$red$** Error:$$ " +#define FAILURE_PREFIX "$red$** Failure:$$ " #define FATAL_PREFIX "$red$** Fatal:$$ " #define GUTTER_STYLE "$blue$" #define HINT_STYLE "" @@ -401,6 +400,7 @@ void loc_read(loc_t *loc, loc_rd_ctx_t *ctx) #define NOTE_PREFIX "$bold$Note:$$ " #define WARNING_PREFIX "$!yellow$Warning:$$ " #define ERROR_PREFIX "$!red$Error:$$ " +#define FAILURE_PREFIX "$!red$Failure:$$ " #define FATAL_PREFIX "$!red$Fatal:$$ " #define GUTTER_STYLE "$!blue$" #define HINT_STYLE "$bold$" @@ -763,7 +763,7 @@ static void diag_emit_hints(diag_t *d, FILE *f) linebuf = loc_get_source(&(d->hints.items[0].loc)); if (linebuf == NULL) - fwidth = 1; + fwidth = 3; else { for (int n = line_max; n > 0; n /= 10, fwidth++) ; @@ -775,7 +775,7 @@ static void diag_emit_hints(diag_t *d, FILE *f) #endif if (linebuf == NULL) { - fprintf(f, "\t "); + color_fprintf(f, " "); diag_emit_loc(&loc0, f); goto other_files; } @@ -940,11 +940,12 @@ static void diag_format_compact(diag_t *d, FILE *f) } switch (d->level) { - case DIAG_DEBUG: fprintf(f, "debug: "); break; - case DIAG_NOTE: fprintf(f, "note: "); break; - case DIAG_WARN: fprintf(f, "warning: "); break; - case DIAG_ERROR: fprintf(f, "error: "); break; - case DIAG_FATAL: fprintf(f, "fatal: "); break; + case DIAG_DEBUG: fprintf(f, "debug: "); break; + case DIAG_NOTE: fprintf(f, "note: "); break; + case DIAG_WARN: fprintf(f, "warning: "); break; + case DIAG_ERROR: fprintf(f, "error: "); break; + case DIAG_FAILURE: fprintf(f, "failure: "); break; + case DIAG_FATAL: fprintf(f, "fatal: "); break; } diag_print_utf8(tb_get(d->msg), tb_len(d->msg), f); @@ -962,11 +963,12 @@ static void diag_format_full(diag_t *d, FILE *f) int col = 0; if (d->prefix) { switch (d->level) { - case DIAG_DEBUG: col = color_fprintf(f, DEBUG_PREFIX); break; - case DIAG_NOTE: col = color_fprintf(f, NOTE_PREFIX); break; - case DIAG_WARN: col = color_fprintf(f, WARNING_PREFIX); break; - case DIAG_ERROR: col = color_fprintf(f, ERROR_PREFIX); break; - case DIAG_FATAL: col = color_fprintf(f, FATAL_PREFIX); break; + case DIAG_DEBUG: col = color_fprintf(f, DEBUG_PREFIX); break; + case DIAG_NOTE: col = color_fprintf(f, NOTE_PREFIX); break; + case DIAG_WARN: col = color_fprintf(f, WARNING_PREFIX); break; + case DIAG_ERROR: col = color_fprintf(f, ERROR_PREFIX); break; + case DIAG_FAILURE: col = color_fprintf(f, FAILURE_PREFIX); break; + case DIAG_FATAL: col = color_fprintf(f, FATAL_PREFIX); break; } } @@ -1037,6 +1039,7 @@ void diag_femit(diag_t *d, FILE *f) void diag_emit(diag_t *d) { + const diag_level_t stderr_level = opt_get_int(OPT_STDERR_LEVEL); diag_femit(d, d->level >= stderr_level ? stderr : stdout); } @@ -1155,38 +1158,6 @@ void fmt_loc(FILE *f, const loc_t *loc) consumer_fn = old; } -diag_level_t diag_severity(vhdl_severity_t severity) -{ - if (severity >= exit_severity) - return DIAG_FATAL; - - switch (severity) { - case SEVERITY_NOTE: return DIAG_NOTE; - case SEVERITY_WARNING: return DIAG_WARN; - case SEVERITY_ERROR: - case SEVERITY_FAILURE: return DIAG_ERROR; - } - - return DIAG_ERROR; -} - -vhdl_severity_t set_exit_severity(vhdl_severity_t severity) -{ - const vhdl_severity_t old = exit_severity; - exit_severity = severity; - return old; -} - -void set_stderr_severity(vhdl_severity_t severity) -{ - switch (severity) { - case SEVERITY_NOTE: stderr_level = DIAG_NOTE; break; - case SEVERITY_WARNING: stderr_level = DIAG_WARN; break; - case SEVERITY_ERROR: stderr_level = DIAG_ERROR; break; - case SEVERITY_FAILURE: stderr_level = DIAG_FATAL; break; - } -} - void wrapped_vprintf(const char *fmt, va_list ap) { char *text LOCAL = NULL; diff --git a/src/diag.h b/src/diag.h index 1ab41f5d..ad4db61b 100644 --- a/src/diag.h +++ b/src/diag.h @@ -76,6 +76,7 @@ typedef enum { DIAG_NOTE, DIAG_WARN, DIAG_ERROR, + DIAG_FAILURE, DIAG_FATAL, } diag_level_t; @@ -121,16 +122,4 @@ const loc_t *diag_get_loc(diag_t *d); int diag_hints(diag_t *d); int diag_traces(diag_t *d); -typedef enum { - SEVERITY_NOTE = 0, - SEVERITY_WARNING = 1, - SEVERITY_ERROR = 2, - SEVERITY_FAILURE = 3 -} vhdl_severity_t; - -// Conversion from VHDL severity -vhdl_severity_t set_exit_severity(vhdl_severity_t severity); -void set_stderr_severity(vhdl_severity_t severity); -diag_level_t diag_severity(vhdl_severity_t severity); - #endif // _DIAG_H diff --git a/src/jit/jit-exits.c b/src/jit/jit-exits.c index bfb4448b..0c741e23 100644 --- a/src/jit/jit-exits.c +++ b/src/jit/jit-exits.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2022-2023 Nick Gasson +// Copyright (C) 2022-2024 Nick Gasson // // This program is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by @@ -24,6 +24,7 @@ #include "lib.h" #include "object.h" #include "psl/psl-node.h" +#include "rt/assert.h" #include "rt/mspace.h" #include "rt/rt.h" #include "rt/structs.h" diff --git a/src/jit/jit-intrin.c b/src/jit/jit-intrin.c index 8abfe920..86b6b92e 100644 --- a/src/jit/jit-intrin.c +++ b/src/jit/jit-intrin.c @@ -165,7 +165,7 @@ static void __ieee_warn(jit_func_t *func, jit_anchor_t *caller, const char *msg) thread->anchor = &frame; diag_t *d = diag_new(DIAG_WARN, NULL); - diag_printf(d, "Assertion Warning: %s", msg); + diag_printf(d, "%s", msg); diag_show_source(d, false); diag_emit(d); diff --git a/src/lower.c b/src/lower.c index 86a944c8..93f96727 100644 --- a/src/lower.c +++ b/src/lower.c @@ -28,6 +28,7 @@ #include "option.h" #include "phase.h" #include "psl/psl-phase.h" +#include "rt/assert.h" #include "rt/rt.h" #include "type.h" #include "vcode.h" diff --git a/src/nvc.c b/src/nvc.c index 00aab530..254dacd3 100644 --- a/src/nvc.c +++ b/src/nvc.c @@ -26,6 +26,7 @@ #include "lower.h" #include "option.h" #include "phase.h" +#include "rt/assert.h" #include "rt/model.h" #include "rt/mspace.h" #include "rt/rt.h" diff --git a/src/option.c b/src/option.c index e738639e..3137efe5 100644 --- a/src/option.c +++ b/src/option.c @@ -16,6 +16,7 @@ // #include "util.h" +#include "diag.h" #include "option.h" #include @@ -172,4 +173,5 @@ void set_default_options(void) opt_set_int(OPT_SHUFFLE_PROCS, 0); opt_set_int(OPT_VHPI_DEBUG, 0); opt_set_int(OPT_SERVER_PORT, 8888); + opt_set_int(OPT_STDERR_LEVEL, DIAG_DEBUG); } diff --git a/src/option.h b/src/option.h index dd713333..8f0ee847 100644 --- a/src/option.h +++ b/src/option.h @@ -68,6 +68,7 @@ typedef enum { OPT_SHUFFLE_PROCS, OPT_VHPI_DEBUG, OPT_SERVER_PORT, + OPT_STDERR_LEVEL, OPT_LAST_NAME } opt_name_t; diff --git a/src/rt/Makemodule.am b/src/rt/Makemodule.am index 8028c8bf..d3e63f05 100644 --- a/src/rt/Makemodule.am +++ b/src/rt/Makemodule.am @@ -19,7 +19,8 @@ lib_libnvc_a_SOURCES += \ src/rt/printer.c \ src/rt/verilog.c \ src/rt/reflect.c \ - src/rt/assert.c + src/rt/assert.c \ + src/rt/assert.h if ENABLE_TCL lib_libnvc_a_SOURCES += \ diff --git a/src/rt/assert.c b/src/rt/assert.c index 946ff22b..05787b79 100644 --- a/src/rt/assert.c +++ b/src/rt/assert.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2023 Nick Gasson +// Copyright (C) 2023-2024 Nick Gasson // // This program is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by @@ -20,7 +20,9 @@ #include "jit/jit-exits.h" #include "jit/jit.h" #include "object.h" +#include "option.h" #include "psl/psl-node.h" +#include "rt/assert.h" #include "rt/model.h" #include "rt/rt.h" #include "rt/structs.h" @@ -72,7 +74,8 @@ static const struct { { "hr", UINT64_C(3600000000000000000) }, }; -static format_part_t *format[SEVERITY_FAILURE + 1]; +static format_part_t *format[SEVERITY_FAILURE + 1]; +static vhdl_severity_t exit_severity = SEVERITY_FAILURE; static void free_format(format_part_t *f) { @@ -272,7 +275,7 @@ void _std_env_get_assert_format(jit_scalar_t *args, tlab_t *tlab) static const char *get_severity_string(vhdl_severity_t severity) { static const char *levels[] = { - "Note", "Warning", "Error", "Failure" + "note", "warning", "error", "failure" }; assert(severity < ARRAY_LEN(levels)); @@ -363,34 +366,53 @@ static void apply_format(diag_t *d, format_part_t *p, vhdl_severity_t severity, } } +static diag_level_t get_diag_severity(vhdl_severity_t severity) +{ + switch (severity) { + case SEVERITY_NOTE: return DIAG_NOTE; + case SEVERITY_WARNING: return DIAG_WARN; + case SEVERITY_ERROR: return DIAG_ERROR; + case SEVERITY_FAILURE: return DIAG_FAILURE; + } + + return DIAG_ERROR; +} + +static void emit_vhdl_diag(diag_t *d, vhdl_severity_t severity) +{ + if (severity >= exit_severity && severity < SEVERITY_FAILURE) + diag_hint(d, NULL, "this will be treated as a fatal error due to " + "$bold$--exit-severity=%s$$", + get_severity_string(exit_severity)); + + diag_emit(d); + + if (severity >= exit_severity) + jit_abort_with_status(EXIT_FAILURE); +} + void x_report(const uint8_t *msg, int32_t msg_len, int8_t severity, object_t *where) { assert(severity <= SEVERITY_FAILURE); - const diag_level_t level = diag_severity(severity); + const diag_level_t level = get_diag_severity(severity); diag_t *d = diag_new(level, &(where->loc)); psl_node_t p = psl_from_object(where); - if (p != NULL && psl_kind(p) == P_COVER) { - diag_printf(d, "PSL cover: "); + if (p != NULL && psl_kind(p) == P_COVER) diag_write(d, (const char *)msg, msg_len); - } else if (format[severity] != NULL) { apply_format(d, format[severity], severity, msg, msg_len); diag_show_source(d, false); } else { - diag_printf(d, "Report %s: ", get_severity_string(severity)); diag_write(d, (const char *)msg, msg_len); diag_show_source(d, false); } - diag_emit(d); - - if (level == DIAG_FATAL) - jit_abort_with_status(EXIT_FAILURE); + emit_vhdl_diag(d, severity); } void x_assert_fail(const uint8_t *msg, int32_t msg_len, int8_t severity, @@ -406,7 +428,7 @@ void x_assert_fail(const uint8_t *msg, int32_t msg_len, int8_t severity, assert(severity <= SEVERITY_FAILURE); - const diag_level_t level = diag_severity(severity); + const diag_level_t level = get_diag_severity(severity); diag_t *d = diag_new(level, &(where->loc)); @@ -415,13 +437,11 @@ void x_assert_fail(const uint8_t *msg, int32_t msg_len, int8_t severity, else if (msg == NULL) { psl_node_t p = psl_from_object(where); if (p == NULL) - diag_printf(d, "Assertion %s: Assertion violation.", - get_severity_string(severity)); + diag_printf(d, "Assertion violation."); else diag_printf(d, "PSL assertion failed"); } else { - diag_printf(d, "Assertion %s: ", get_severity_string(severity)); diag_write(d, (const char *)msg, msg_len); // Assume we don't want to dump the source code if the user @@ -453,8 +473,17 @@ void x_assert_fail(const uint8_t *msg, int32_t msg_len, int8_t severity, diag_hint(d, &(where->loc), "%s", tb_get(tb)); } - diag_emit(d); + emit_vhdl_diag(d, severity); +} - if (level == DIAG_FATAL) - jit_abort_with_status(EXIT_FAILURE); +vhdl_severity_t set_exit_severity(vhdl_severity_t severity) +{ + const vhdl_severity_t old = exit_severity; + exit_severity = severity; + return old; +} + +void set_stderr_severity(vhdl_severity_t severity) +{ + opt_set_int(OPT_STDERR_LEVEL, get_diag_severity(severity)); } diff --git a/src/rt/assert.h b/src/rt/assert.h new file mode 100644 index 00000000..6dec92bd --- /dev/null +++ b/src/rt/assert.h @@ -0,0 +1,42 @@ +// +// Copyright (C) 2024 Nick Gasson +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +#ifndef _RT_ASSERT_H +#define _RT_ASSERT_H + +#include "prim.h" +#include "diag.h" + +typedef enum { + SEVERITY_NOTE = 0, + SEVERITY_WARNING = 1, + SEVERITY_ERROR = 2, + SEVERITY_FAILURE = 3 +} vhdl_severity_t; + +diag_level_t vhdl_to_diag_severity(vhdl_severity_t severity); + +vhdl_severity_t set_exit_severity(vhdl_severity_t severity); +void set_stderr_severity(vhdl_severity_t severity); + +int64_t get_vhdl_assert_count(int8_t severity); +void increment_vhdl_assert_count(int8_t severity); +void clear_vhdl_assert(void); +void set_vhdl_assert_enable(int8_t severity, bool enable); +bool get_vhdl_assert_enable(int8_t severity); + +#endif // _RT_ASSERT_H diff --git a/src/rt/model.c b/src/rt/model.c index e2304472..b1691230 100644 --- a/src/rt/model.c +++ b/src/rt/model.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2011-2023 Nick Gasson +// Copyright (C) 2011-2024 Nick Gasson // // This program is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by @@ -27,6 +27,7 @@ #include "lib.h" #include "option.h" #include "psl/psl-node.h" +#include "rt/assert.h" #include "rt/heap.h" #include "rt/model.h" #include "rt/structs.h" diff --git a/src/rt/rt.h b/src/rt/rt.h index 18acd107..c0ca0341 100644 --- a/src/rt/rt.h +++ b/src/rt/rt.h @@ -93,12 +93,6 @@ typedef uint16_t delta_cycle_t; void *rt_tlab_alloc(size_t size); -int64_t get_vhdl_assert_count(int8_t severity); -void increment_vhdl_assert_count(int8_t severity); -void clear_vhdl_assert(void); -void set_vhdl_assert_enable(int8_t severity, bool enable); -bool get_vhdl_assert_enable(int8_t severity); - void _std_standard_init(void); void _std_env_init(void); void _std_reflection_init(void); diff --git a/src/rt/stdenv.c b/src/rt/stdenv.c index d647e41d..56af1e24 100644 --- a/src/rt/stdenv.c +++ b/src/rt/stdenv.c @@ -21,6 +21,7 @@ #include "jit/jit-ffi.h" #include "jit/jit-exits.h" #include "scan.h" +#include "rt/assert.h" #include "rt/mspace.h" #include "rt/rt.h" diff --git a/test/regress/gold/access1.txt b/test/regress/gold/access1.txt index 91c37e82..c869d734 100644 --- a/test/regress/gold/access1.txt +++ b/test/regress/gold/access1.txt @@ -1,10 +1,10 @@ -0ms+1: Report Note: 10 -0ms+1: Report Note: 9 -0ms+1: Report Note: 8 -0ms+1: Report Note: 7 -0ms+1: Report Note: 6 -0ms+1: Report Note: 5 -0ms+1: Report Note: 4 -0ms+1: Report Note: 3 -0ms+1: Report Note: 2 -0ms+1: Report Note: 1 +0ms+1: 10 +0ms+1: 9 +0ms+1: 8 +0ms+1: 7 +0ms+1: 6 +0ms+1: 5 +0ms+1: 4 +0ms+1: 3 +0ms+1: 2 +0ms+1: 1 diff --git a/test/regress/gold/alias1.txt b/test/regress/gold/alias1.txt index 3e09751a..00b614ad 100644 --- a/test/regress/gold/alias1.txt +++ b/test/regress/gold/alias1.txt @@ -1,30 +1,30 @@ -0ms+0: Report Note: --- X1 --- -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -0ms+0: Report Note: --- Y1 --- -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -0ms+0: Report Note: --- Z1 --- -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -1ns+0: Report Note: --- X2 --- -1ns+0: Report Note: 1 -1ns+0: Report Note: 2 -1ns+0: Report Note: 3 -1ns+0: Report Note: 4 -1ns+0: Report Note: --- Y2 --- -1ns+0: Report Note: 1 -1ns+0: Report Note: 2 -1ns+0: Report Note: 3 -1ns+0: Report Note: 4 -1ns+0: Report Note: --- Z2 --- -1ns+0: Report Note: 1 -1ns+0: Report Note: 2 -1ns+0: Report Note: 3 -1ns+0: Report Note: 4 +0ms+0: --- X1 --- +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +0ms+0: --- Y1 --- +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +0ms+0: --- Z1 --- +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +1ns+0: --- X2 --- +1ns+0: 1 +1ns+0: 2 +1ns+0: 3 +1ns+0: 4 +1ns+0: --- Y2 --- +1ns+0: 1 +1ns+0: 2 +1ns+0: 3 +1ns+0: 4 +1ns+0: --- Z2 --- +1ns+0: 1 +1ns+0: 2 +1ns+0: 3 +1ns+0: 4 diff --git a/test/regress/gold/alias2.txt b/test/regress/gold/alias2.txt index 73440d23..3652911c 100644 --- a/test/regress/gold/alias2.txt +++ b/test/regress/gold/alias2.txt @@ -1,30 +1,30 @@ -0ms+0: Report Note: --- X --- -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -0ms+0: Report Note: --- Y --- -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -0ms+0: Report Note: --- Z --- -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -1ns+0: Report Note: --- X --- -1ns+0: Report Note: 1 -1ns+0: Report Note: 2 -1ns+0: Report Note: 3 -1ns+0: Report Note: 4 -1ns+0: Report Note: --- Y --- -1ns+0: Report Note: 1 -1ns+0: Report Note: 2 -1ns+0: Report Note: 3 -1ns+0: Report Note: 4 -1ns+0: Report Note: --- Z --- -1ns+0: Report Note: 1 -1ns+0: Report Note: 2 -1ns+0: Report Note: 3 -1ns+0: Report Note: 4 +0ms+0: --- X --- +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +0ms+0: --- Y --- +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +0ms+0: --- Z --- +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +1ns+0: --- X --- +1ns+0: 1 +1ns+0: 2 +1ns+0: 3 +1ns+0: 4 +1ns+0: --- Y --- +1ns+0: 1 +1ns+0: 2 +1ns+0: 3 +1ns+0: 4 +1ns+0: --- Z --- +1ns+0: 1 +1ns+0: 2 +1ns+0: 3 +1ns+0: 4 diff --git a/test/regress/gold/alias5.txt b/test/regress/gold/alias5.txt index 119bbd34..6caf8b1c 100644 --- a/test/regress/gold/alias5.txt +++ b/test/regress/gold/alias5.txt @@ -1,2 +1,2 @@ -0ms+0: Report Note: tpd'left=3 -0ms+0: Report Note: tpd'right=0 +0ms+0: tpd'left=3 +0ms+0: tpd'right=0 diff --git a/test/regress/gold/assert1.txt b/test/regress/gold/assert1.txt index 60c4a7d3..0d5e49de 100644 --- a/test/regress/gold/assert1.txt +++ b/test/regress/gold/assert1.txt @@ -1,3 +1,3 @@ -0ms+0: Report Note: hello world -0ms+0: Assertion Note: not important -0ms+0: Assertion Failure: Assertion violation. +0ms+0: hello world +0ms+0: not important +0ms+0: Assertion violation. diff --git a/test/regress/gold/assert10.txt b/test/regress/gold/assert10.txt index 136d47f6..bd84c473 100644 --- a/test/regress/gold/assert10.txt +++ b/test/regress/gold/assert10.txt @@ -2,7 +2,7 @@ 0 fs foo error bar long message long message long message 0ms+0: invalid string replacement variable 'Q' ** ERROR: message at 0.005 ns : :assert10 -5ps+0: Assertion Warning: message +5ps+0: message 5ps+0: invalid precision 'foobar' in format string ** ERROR: report at 0.005 ns : :assert10 5ps+0: precision is only valid for 't' variable diff --git a/test/regress/gold/assert2.txt b/test/regress/gold/assert2.txt index c8242aef..e76b54b6 100644 --- a/test/regress/gold/assert2.txt +++ b/test/regress/gold/assert2.txt @@ -1 +1 @@ -1ns+1: Assertion Warning: x >= 10 +1ns+1: x >= 10 diff --git a/test/regress/gold/assert3.txt b/test/regress/gold/assert3.txt index 38b2bf39..0ced6c1c 100644 --- a/test/regress/gold/assert3.txt +++ b/test/regress/gold/assert3.txt @@ -1 +1 @@ -0ms+0: Assertion Note: should assert +Note: 0ms+0: should assert diff --git a/test/regress/gold/assert6.txt b/test/regress/gold/assert6.txt index 120f27fa..ce31089a 100644 --- a/test/regress/gold/assert6.txt +++ b/test/regress/gold/assert6.txt @@ -1,33 +1,33 @@ -1ns+0: Assertion Warning: Assertion violation. +1ns+0: Assertion violation. | 17 | assert x = 5 severity warning; | ^^^^^ 0 = 5 is false -1ns+0: Assertion Warning: Assertion violation. +1ns+0: Assertion violation. | 18 | assert x < -1 severity warning; | ^^^^^^ 0 < -1 is false -1ns+0: Assertion Warning: Assertion violation. +1ns+0: Assertion violation. | 19 | assert character'val(x) /= NUL severity warning; | ^^^^^^^^^^^^^^^^^^^^^^^ NUL /= NUL is false -2ns+0: Assertion Warning: Assertion violation. +2ns+0: Assertion violation. | 22 | assert x > 1000 severity warning; | ^^^^^^^^ 512 > 1000 is false -2ns+0: Assertion Warning: Assertion violation. +2ns+0: Assertion violation. | 23 | assert x <= 2 severity warning; | ^^^^^^ 512 <= 2 is false -2ns+0: Assertion Warning: Assertion violation. +2ns+0: Assertion violation. | 24 | assert x >= 2000 severity warning; | ^^^^^^^^^ 512 >= 2000 is false -2ns+0: Assertion Warning: Assertion violation. +2ns+0: Assertion violation. | 25 | assert y = 4.56 severity warning; | ^^^^^^^^ 3.1419999999999999 = 4.5599999999999996 is false -2ns+0: Assertion Warning: Assertion violation. -2ns+0: Assertion Warning: Assertion violation. +2ns+0: Assertion violation. +2ns+0: Assertion violation. | 30 | assert p = null severity warning; = NULL is false diff --git a/test/regress/gold/assert7.txt b/test/regress/gold/assert7.txt index 6bec0b84..b842b272 100644 --- a/test/regress/gold/assert7.txt +++ b/test/regress/gold/assert7.txt @@ -1,30 +1,30 @@ -0ms+0: Assertion Error: this is error 1 -0ms+0: Assertion Error: this is error 2 -0ms+0: Assertion Error: this is error 3 -0ms+0: Assertion Error: this is error 4 -0ms+0: Assertion Error: this is error 5 -0ms+0: Assertion Error: this is error 6 -0ms+0: Assertion Error: this is error 7 -0ms+0: Assertion Error: this is error 8 -0ms+0: Assertion Error: this is error 9 -0ms+0: Assertion Error: this is error 10 -0ms+0: Assertion Error: this is error 11 -0ms+0: Assertion Error: this is error 12 -0ms+0: Assertion Error: this is error 13 -0ms+0: Assertion Error: this is error 14 -0ms+0: Assertion Error: this is error 15 -0ms+0: Assertion Error: this is error 16 -0ms+0: Assertion Error: this is error 17 -0ms+0: Assertion Error: this is error 18 -0ms+0: Assertion Error: this is error 19 -0ms+0: Assertion Error: this is error 20 -0ms+0: Assertion Error: this is error 21 -0ms+0: Assertion Error: this is error 22 -0ms+0: Assertion Error: this is error 23 -0ms+0: Assertion Error: this is error 24 -0ms+0: Assertion Error: this is error 25 -0ms+0: Assertion Error: this is error 26 -0ms+0: Assertion Error: this is error 27 -0ms+0: Assertion Error: this is error 28 -0ms+0: Assertion Error: this is error 29 -0ms+0: Assertion Error: this is error 30 +0ms+0: this is error 1 +0ms+0: this is error 2 +0ms+0: this is error 3 +0ms+0: this is error 4 +0ms+0: this is error 5 +0ms+0: this is error 6 +0ms+0: this is error 7 +0ms+0: this is error 8 +0ms+0: this is error 9 +0ms+0: this is error 10 +0ms+0: this is error 11 +0ms+0: this is error 12 +0ms+0: this is error 13 +0ms+0: this is error 14 +0ms+0: this is error 15 +0ms+0: this is error 16 +0ms+0: this is error 17 +0ms+0: this is error 18 +0ms+0: this is error 19 +0ms+0: this is error 20 +0ms+0: this is error 21 +0ms+0: this is error 22 +0ms+0: this is error 23 +0ms+0: this is error 24 +0ms+0: this is error 25 +0ms+0: this is error 26 +0ms+0: this is error 27 +0ms+0: this is error 28 +0ms+0: this is error 29 +0ms+0: this is error 30 diff --git a/test/regress/gold/assert8.txt b/test/regress/gold/assert8.txt index 461adc17..610317ac 100644 --- a/test/regress/gold/assert8.txt +++ b/test/regress/gold/assert8.txt @@ -1,11 +1,11 @@ -0ms+0: Assertion Note: First note -0ms+0: Assertion Warning: First warning -0ms+0: Assertion Error: First error -0ms+0: Assertion Note: Second note -0ms+0: Assertion Warning: Second warning -0ms+0: Assertion Error: Second error -0ms+0: Report Note: Clearing VHDL asserts -0ms+0: Report Note: Note report -0ms+0: Report Warning: Warning report -0ms+0: Report Error: Error report -0ms+0: FINISH called \ No newline at end of file +Note: 0ms+0: First note +Warning: 0ms+0: First warning +Error: 0ms+0: First error +Note: 0ms+0: Second note +Warning: 0ms+0: Second warning +Error: 0ms+0: Second error +Note: 0ms+0: Clearing VHDL asserts +Note: 0ms+0: Note report +Warning: 0ms+0: Warning report +Error: 0ms+0: Error report +0ms+0: FINISH called diff --git a/test/regress/gold/assert9.txt b/test/regress/gold/assert9.txt index 08ca29af..67cc17bd 100644 --- a/test/regress/gold/assert9.txt +++ b/test/regress/gold/assert9.txt @@ -1,7 +1,7 @@ -5ns+0: Report Note: EXAMPLE NOTE -5ns+0: Report Warning: EXAMPLE WARNING -5ns+0: Report Error: EXAMPLE ERROR -6ns+0: Report Warning: EXAMPLE WARNING -6ns+0: Report Error: EXAMPLE ERROR -7ns+0: Report Error: EXAMPLE ERROR -9ns+0: Report Failure: END THE TEST +5ns+0: EXAMPLE NOTE +5ns+0: EXAMPLE WARNING +5ns+0: EXAMPLE ERROR +6ns+0: EXAMPLE WARNING +6ns+0: EXAMPLE ERROR +7ns+0: EXAMPLE ERROR +9ns+0: END THE TEST diff --git a/test/regress/gold/attr12.txt b/test/regress/gold/attr12.txt index 214fb8e1..9c9de85c 100644 --- a/test/regress/gold/attr12.txt +++ b/test/regress/gold/attr12.txt @@ -1,2 +1,2 @@ -0ms+0: Report Note: :work:pack: <-- -0ms+0: Report Note: :work:pack: <-- +0ms+0: :work:pack: <-- +0ms+0: :work:pack: <-- diff --git a/test/regress/gold/attr17.txt b/test/regress/gold/attr17.txt index ea4716ef..8ad15028 100644 --- a/test/regress/gold/attr17.txt +++ b/test/regress/gold/attr17.txt @@ -1,3 +1,3 @@ -Note: A ~ :work:pack:func[integer return integer]:x -Note: B ~ :work:pack:func[integer return integer] -Note: C ~ :attr17(arch):report_instance_name[string]:val +Note: 0ms+0: A ~ :work:pack:func[integer return integer]:x +Note: 0ms+0: B ~ :work:pack:func[integer return integer] +Note: 0ms+0: C ~ :attr17(arch):report_instance_name[string]:val diff --git a/test/regress/gold/attr3.txt b/test/regress/gold/attr3.txt index 2eb0cdb8..94af0ca2 100644 --- a/test/regress/gold/attr3.txt +++ b/test/regress/gold/attr3.txt @@ -1,10 +1,10 @@ -0ms+0: Report Note: 5 -0ms+0: Report Note: 4 -0ms+0: Report Note: 3 -0ms+0: Report Note: 2 -0ms+0: Report Note: 1 -0ms+0: Report Note: 5 -0ms+0: Report Note: 4 -0ms+0: Report Note: 3 -0ms+0: Report Note: 2 -0ms+0: Report Note: 1 +0ms+0: 5 +0ms+0: 4 +0ms+0: 3 +0ms+0: 2 +0ms+0: 1 +0ms+0: 5 +0ms+0: 4 +0ms+0: 3 +0ms+0: 2 +0ms+0: 1 diff --git a/test/regress/gold/case12.txt b/test/regress/gold/case12.txt index a0d6bc15..a2344437 100644 --- a/test/regress/gold/case12.txt +++ b/test/regress/gold/case12.txt @@ -1,3 +1,3 @@ -2ns+1: Assertion Error: value of matching case statement expression "11-" contains a '-' +Error: 2ns+1: value of matching case statement expression "11-" contains a '-' Procedure CHECK_MATCH_EXPRESSION [STD_ULOGIC_VECTOR] Process :case12:p1 diff --git a/test/regress/gold/case13.txt b/test/regress/gold/case13.txt index e6274c34..1917f000 100644 --- a/test/regress/gold/case13.txt +++ b/test/regress/gold/case13.txt @@ -1,5 +1,5 @@ -0ms+0: Report Note: zeros -0ms+1: Report Note: ones +0ms+0: zeros +0ms+1: ones expression length 5 does not match case choice length 3 | 19 | case get_bits(n, b) is diff --git a/test/regress/gold/cond1.txt b/test/regress/gold/cond1.txt index 439a2269..95a565bf 100644 --- a/test/regress/gold/cond1.txt +++ b/test/regress/gold/cond1.txt @@ -1,3 +1,3 @@ -0ms+0: Report Note: x = y -0ms+0: Report Note: x /= y + 1 -0ms+0: Report Note: x = y still +0ms+0: x = y +0ms+0: x /= y + 1 +0ms+0: x = y still diff --git a/test/regress/gold/cond2.txt b/test/regress/gold/cond2.txt index 860c65cd..3410aeb1 100644 --- a/test/regress/gold/cond2.txt +++ b/test/regress/gold/cond2.txt @@ -1,2 +1,2 @@ -1ns+0: Report Note: y = 2 -1ns+0: Report Note: x /= 4 +1ns+0: y = 2 +1ns+0: x /= 4 diff --git a/test/regress/gold/conf1.txt b/test/regress/gold/conf1.txt index 909452bc..53f4dc6c 100644 --- a/test/regress/gold/conf1.txt +++ b/test/regress/gold/conf1.txt @@ -1,4 +1,4 @@ -1ns+0: Report Note: 4 <=> 2 = false -2ns+0: Report Note: 4 <=> 7 = true -11ns+0: Report Note: 4 <=> 2 = true -12ns+0: Report Note: 4 <=> 7 = false +1ns+0: 4 <=> 2 = false +2ns+0: 4 <=> 7 = true +11ns+0: 4 <=> 2 = true +12ns+0: 4 <=> 7 = false diff --git a/test/regress/gold/conf2.txt b/test/regress/gold/conf2.txt index 909452bc..53f4dc6c 100644 --- a/test/regress/gold/conf2.txt +++ b/test/regress/gold/conf2.txt @@ -1,4 +1,4 @@ -1ns+0: Report Note: 4 <=> 2 = false -2ns+0: Report Note: 4 <=> 7 = true -11ns+0: Report Note: 4 <=> 2 = true -12ns+0: Report Note: 4 <=> 7 = false +1ns+0: 4 <=> 2 = false +2ns+0: 4 <=> 7 = true +11ns+0: 4 <=> 2 = true +12ns+0: 4 <=> 7 = false diff --git a/test/regress/gold/const3.txt b/test/regress/gold/const3.txt index 3cf43ce5..934cb641 100644 --- a/test/regress/gold/const3.txt +++ b/test/regress/gold/const3.txt @@ -1,2 +1,2 @@ -0ms+0: Report Note: zero -0ms+0: Report Note: one +0ms+0: zero +0ms+0: one diff --git a/test/regress/gold/counter.txt b/test/regress/gold/counter.txt index 63cefa77..b910cd6f 100644 --- a/test/regress/gold/counter.txt +++ b/test/regress/gold/counter.txt @@ -1,6 +1,6 @@ -0ms+0: Report Note: -2147483648 -5ns+2: Report Note: 1 -15ns+2: Report Note: 2 -25ns+2: Report Note: 3 -35ns+2: Report Note: 4 -45ns+2: Report Note: 5 +0ms+0: -2147483648 +5ns+2: 1 +15ns+2: 2 +25ns+2: 3 +35ns+2: 4 +45ns+2: 5 diff --git a/test/regress/gold/debug1.txt b/test/regress/gold/debug1.txt index df7bd13c..85680169 100644 --- a/test/regress/gold/debug1.txt +++ b/test/regress/gold/debug1.txt @@ -1,13 +1,13 @@ -Warning: 0ms+0: Assertion Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +Warning: 0ms+0: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). Function MAKE_BINARY [UNSIGNED return UNSIGNED] Function CONV_UNSIGNED [UNSIGNED, INTEGER return UNSIGNED] Function "+" [UNSIGNED, UNSIGNED return STD_LOGIC_VECTOR] Function "+" [STD_LOGIC_VECTOR, STD_LOGIC_VECTOR return STD_LOGIC_VECTOR] Process :debug1:warn -Warning: 0ms+0: Assertion Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +Warning: 0ms+0: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). Function MAKE_BINARY [UNSIGNED return UNSIGNED] Function CONV_UNSIGNED [UNSIGNED, INTEGER return UNSIGNED] Function "+" [UNSIGNED, UNSIGNED return STD_LOGIC_VECTOR] Function "+" [STD_LOGIC_VECTOR, STD_LOGIC_VECTOR return STD_LOGIC_VECTOR] Process :debug1:warn -Note: 1ns+0: Report Note: simulation finished +Note: 1ns+0: simulation finished diff --git a/test/regress/gold/debug2.txt b/test/regress/gold/debug2.txt index 6319e7b6..3e93e344 100644 --- a/test/regress/gold/debug2.txt +++ b/test/regress/gold/debug2.txt @@ -1,23 +1,23 @@ -Warning: 0ms+0: Report Warning: p1 -Warning: 0ms+0: Report Warning: proc1 +Warning: 0ms+0: p1 +Warning: 0ms+0: proc1 Procedure PROC1 [] Process :debug2:p1 -Warning: 0ms+0: Report Warning: proc1 +Warning: 0ms+0: proc1 Procedure PROC1 [] Procedure PROC2 [] Process :debug2:p1 -Warning: 0ms+0: Report Warning: proc2 +Warning: 0ms+0: proc2 Procedure PROC2 [] Process :debug2:p1 -Warning: 1ns+0: Report Warning: proc3 +Warning: 1ns+0: proc3 Procedure PROC3 [] Process :debug2:b1:p2 -Warning: 1ns+0: Report Warning: proc4 +Warning: 1ns+0: proc4 Procedure PROC4 [] Process :debug2:b1:p2 -Warning: 1ns+0: Report Warning: proc1 +Warning: 1ns+0: proc1 Procedure PROC1 [] Process :debug2:b1:p2 -Warning: 1ns+0: Report Warning: p2 -Warning: 2ns+0: Report Warning: p3 -Warning: 3ns+0: Report Warning: p4 +Warning: 1ns+0: p2 +Warning: 2ns+0: p3 +Warning: 3ns+0: p4 diff --git a/test/regress/gold/driver15.txt b/test/regress/gold/driver15.txt index 76836d21..facc4517 100644 --- a/test/regress/gold/driver15.txt +++ b/test/regress/gold/driver15.txt @@ -1,3 +1,3 @@ -(init): Report Note: result is '0' -0ms+1: Report Note: result is '0' -1ns+1: Report Note: result is '1' +(init): result is '0' +0ms+1: result is '0' +1ns+1: result is '1' diff --git a/test/regress/gold/driver21.txt b/test/regress/gold/driver21.txt index 2cdad9c1..62ca86ff 100644 --- a/test/regress/gold/driver21.txt +++ b/test/regress/gold/driver21.txt @@ -1 +1 @@ -1ns+0: Assertion Failure: Assertion violation. +1ns+0: Assertion violation. diff --git a/test/regress/gold/elab10.txt b/test/regress/gold/elab10.txt index 0947a6dc..f658a7b3 100644 --- a/test/regress/gold/elab10.txt +++ b/test/regress/gold/elab10.txt @@ -1,2 +1,2 @@ -0ms+0: Report Note: :elab10:sub1_i:a range is 1 to 5 -1ns+0: Report Note: :elab10:sub2_i:a range is 6 to 10 +0ms+0: :elab10:sub1_i:a range is 1 to 5 +1ns+0: :elab10:sub2_i:a range is 6 to 10 diff --git a/test/regress/gold/elab13.txt b/test/regress/gold/elab13.txt index 3cae4f20..3a6e6121 100644 --- a/test/regress/gold/elab13.txt +++ b/test/regress/gold/elab13.txt @@ -1,8 +1,8 @@ -0ms+1: Report Note: :elab13:top_i:recur_g:recur1_i:recur_g:recur1_i:recur_g:recur1_i: -1ns+0: Report Note: :elab13:top_i:recur_g:recur1_i:recur_g:recur1_i:recur_g:recur2_i: -2ns+0: Report Note: :elab13:top_i:recur_g:recur1_i:recur_g:recur2_i:recur_g:recur1_i: -3ns+0: Report Note: :elab13:top_i:recur_g:recur1_i:recur_g:recur2_i:recur_g:recur2_i: -4ns+0: Report Note: :elab13:top_i:recur_g:recur2_i:recur_g:recur1_i:recur_g:recur1_i: -5ns+0: Report Note: :elab13:top_i:recur_g:recur2_i:recur_g:recur1_i:recur_g:recur2_i: -6ns+0: Report Note: :elab13:top_i:recur_g:recur2_i:recur_g:recur2_i:recur_g:recur1_i: -7ns+0: Report Note: :elab13:top_i:recur_g:recur2_i:recur_g:recur2_i:recur_g:recur2_i: +0ms+1: :elab13:top_i:recur_g:recur1_i:recur_g:recur1_i:recur_g:recur1_i: +1ns+0: :elab13:top_i:recur_g:recur1_i:recur_g:recur1_i:recur_g:recur2_i: +2ns+0: :elab13:top_i:recur_g:recur1_i:recur_g:recur2_i:recur_g:recur1_i: +3ns+0: :elab13:top_i:recur_g:recur1_i:recur_g:recur2_i:recur_g:recur2_i: +4ns+0: :elab13:top_i:recur_g:recur2_i:recur_g:recur1_i:recur_g:recur1_i: +5ns+0: :elab13:top_i:recur_g:recur2_i:recur_g:recur1_i:recur_g:recur2_i: +6ns+0: :elab13:top_i:recur_g:recur2_i:recur_g:recur2_i:recur_g:recur1_i: +7ns+0: :elab13:top_i:recur_g:recur2_i:recur_g:recur2_i:recur_g:recur2_i: diff --git a/test/regress/gold/elab3.txt b/test/regress/gold/elab3.txt index 33fe99df..1ed94460 100644 --- a/test/regress/gold/elab3.txt +++ b/test/regress/gold/elab3.txt @@ -1,6 +1,6 @@ -0ms+0: Report Note: :elab3(test):x -0ms+0: Report Note: :elab3:x -1ns+0: Report Note: :elab3(test):b:y -1ns+0: Report Note: :elab3:b:y -2ns+0: Report Note: :elab3(test):s@sub(test):p -2ns+0: Report Note: :elab3:s:p +0ms+0: :elab3(test):x +0ms+0: :elab3:x +1ns+0: :elab3(test):b:y +1ns+0: :elab3:b:y +2ns+0: :elab3(test):s@sub(test):p +2ns+0: :elab3:s:p diff --git a/test/regress/gold/elab9.txt b/test/regress/gold/elab9.txt index 3ff87b34..beebb3f6 100644 --- a/test/regress/gold/elab9.txt +++ b/test/regress/gold/elab9.txt @@ -1,8 +1,8 @@ -0ms+0: Report Note: :elab9:sub_i: -0ms+0: Report Note: :elab9(test):sub_i@sub_ent(test): -0ms+0: Report Note: :elab9:sub_i: -0ms+0: Report Note: :elab9(test):sub_i@sub_ent(test): -1ns+0: Report Note: :elab9: -1ns+0: Report Note: :elab9(test): -1ns+0: Report Note: :elab9: -1ns+0: Report Note: :elab9(test): +0ms+0: :elab9:sub_i: +0ms+0: :elab9(test):sub_i@sub_ent(test): +0ms+0: :elab9:sub_i: +0ms+0: :elab9(test):sub_i@sub_ent(test): +1ns+0: :elab9: +1ns+0: :elab9(test): +1ns+0: :elab9: +1ns+0: :elab9(test): diff --git a/test/regress/gold/fact.txt b/test/regress/gold/fact.txt index d2cf8754..4af8faa9 100644 --- a/test/regress/gold/fact.txt +++ b/test/regress/gold/fact.txt @@ -1,15 +1,15 @@ -0ms+1: Report Note: 1 -0ms+3: Report Note: 2 -0ms+5: Report Note: 6 -0ms+7: Report Note: 24 -0ms+9: Report Note: 120 -0ms+11: Report Note: 720 -0ms+13: Report Note: 5040 -0ms+15: Report Note: 40320 -0ms+17: Report Note: 362880 -0ms+19: Report Note: 3628800 -0ms+21: Report Note: 39916800 -0ms+23: Report Note: 479001600 +0ms+1: 1 +0ms+3: 2 +0ms+5: 6 +0ms+7: 24 +0ms+9: 120 +0ms+11: 720 +0ms+13: 5040 +0ms+15: 40320 +0ms+17: 362880 +0ms+19: 3628800 +0ms+21: 39916800 +0ms+23: 479001600 result of 479001600 * 13 cannot be represented as INTEGER | 15 | tmp := tmp * i; diff --git a/test/regress/gold/for1.txt b/test/regress/gold/for1.txt index c9ed1c19..557938db 100644 --- a/test/regress/gold/for1.txt +++ b/test/regress/gold/for1.txt @@ -1,11 +1,11 @@ -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -0ms+0: Report Note: 5 -0ms+0: Report Note: 100 -0ms+0: Report Note: 99 -0ms+0: Report Note: 98 -0ms+0: Report Note: 97 -0ms+0: Report Note: 96 -0ms+0: Report Note: 95 +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +0ms+0: 5 +0ms+0: 100 +0ms+0: 99 +0ms+0: 98 +0ms+0: 97 +0ms+0: 96 +0ms+0: 95 diff --git a/test/regress/gold/for2.txt b/test/regress/gold/for2.txt index 060bd3d7..3ff0f899 100644 --- a/test/regress/gold/for2.txt +++ b/test/regress/gold/for2.txt @@ -1,10 +1,10 @@ -0ms+0: Report Note: -1 -0ms+0: Report Note: 0 -0ms+0: Report Note: 1 -0ms+0: Report Note: 2 -0ms+0: Report Note: 3 -0ms+0: Report Note: 4 -0ms+0: Report Note: a -0ms+0: Report Note: b -0ms+0: Report Note: c -0ms+0: Report Note: d +0ms+0: -1 +0ms+0: 0 +0ms+0: 1 +0ms+0: 2 +0ms+0: 3 +0ms+0: 4 +0ms+0: a +0ms+0: b +0ms+0: c +0ms+0: d diff --git a/test/regress/gold/for3.txt b/test/regress/gold/for3.txt index e8d5cf25..5e8d3b2e 100644 --- a/test/regress/gold/for3.txt +++ b/test/regress/gold/for3.txt @@ -1,160 +1,160 @@ -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 0 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 1 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 2 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 3 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 4 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 5 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 6 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 7 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 8 -Note: 0ms+0: Report Note: inner loop i = 7 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 0 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 1 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 2 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 3 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 4 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 5 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 6 -Note: 0ms+0: Report Note: outer loop i = 9 -Note: 0ms+0: Report Note: inner loop i = 7 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 0 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 1 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 2 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 3 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 4 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 5 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 6 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 7 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 8 +Note: 0ms+0: inner loop i = 7 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 0 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 1 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 2 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 3 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 4 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 5 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 6 +Note: 0ms+0: outer loop i = 9 +Note: 0ms+0: inner loop i = 7 diff --git a/test/regress/gold/ieee11.txt b/test/regress/gold/ieee11.txt index c3fa7084..fae6ddde 100644 --- a/test/regress/gold/ieee11.txt +++ b/test/regress/gold/ieee11.txt @@ -1,4 +1,4 @@ -0ms+0: Assertion Warning: NUMERIC_STD.TO_01: null detected, returning NAU -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_01: null detected, returning NAU +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated diff --git a/test/regress/gold/ieee13.txt b/test/regress/gold/ieee13.txt index 16d3e416..7b00eec1 100644 --- a/test/regress/gold/ieee13.txt +++ b/test/regress/gold/ieee13.txt @@ -1,52 +1,52 @@ -0ms+0: Assertion Note: A.3 tests done -0ms+0: Assertion Note: A.4 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Note: A.5 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Note: A.6 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Note: A.7 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Note: A.8 tests done -0ms+0: Assertion Note: A.9 tests done -0ms+0: Assertion Note: A.10 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Note: A.11 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_UNSIGNED: vector truncated -0ms+0: Assertion Note: A.12 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Note: A.13 tests done -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Warning: NUMERIC_STD.TO_SIGNED: vector truncated -0ms+0: Assertion Note: A.14 tests done -0ms+0: Assertion Note: END OF test suite tb4 +0ms+0: A.3 tests done +0ms+0: A.4 tests done +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: A.5 tests done +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: A.6 tests done +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: A.7 tests done +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: A.8 tests done +0ms+0: A.9 tests done +0ms+0: A.10 tests done +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: A.11 tests done +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_UNSIGNED: vector truncated +0ms+0: A.12 tests done +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: A.13 tests done +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: NUMERIC_STD.TO_SIGNED: vector truncated +0ms+0: A.14 tests done +0ms+0: END OF test suite tb4 diff --git a/test/regress/gold/ieee14.txt b/test/regress/gold/ieee14.txt index 26524da6..aa5a9cac 100644 --- a/test/regress/gold/ieee14.txt +++ b/test/regress/gold/ieee14.txt @@ -1,34 +1,34 @@ -0ms+0: Assertion Warning: NUMERIC_STD."=": null argument detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -0ms+0: Assertion Note: C.29 tests done -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Note: C.1 tests done -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Note: C.2 tests done -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Note: C.3 tests done -0ms+0: Assertion Note: C.4 tests done -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Note: C.5 tests done -0ms+0: Assertion Warning: NUMERIC_STD.">": null argument detected, returning FALSE -0ms+0: Assertion Note: C.6 tests done -0ms+0: Assertion Warning: NUMERIC_STD."<": null argument detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD."<": null argument detected, returning FALSE -0ms+0: Assertion Note: C.7 tests done -0ms+0: Assertion Warning: NUMERIC_STD."<": null argument detected, returning FALSE -0ms+0: Assertion Note: C.8 tests done -0ms+0: Assertion Warning: NUMERIC_STD."<": null argument detected, returning FALSE -0ms+0: Assertion Note: C.11 tests done -0ms+0: Assertion Note: C.12 tests done -0ms+0: Assertion Warning: NUMERIC_STD."<": null argument detected, returning FALSE -0ms+0: Assertion Note: C.9 tests done -0ms+0: Assertion Warning: NUMERIC_STD."<": null argument detected, returning FALSE -0ms+0: Assertion Note: C.10 tests done -0ms+0: Assertion Warning: NUMERIC_STD."=": null argument detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD."=": null argument detected, returning FALSE -0ms+0: Assertion Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -0ms+0: Assertion Note: c.28, c.30 tests done -0ms+0: Assertion Note: END OF test suite tb3 +0ms+0: NUMERIC_STD."=": null argument detected, returning FALSE +0ms+0: NUMERIC_STD."=": metavalue detected, returning FALSE +0ms+0: C.29 tests done +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: C.1 tests done +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: C.2 tests done +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: C.3 tests done +0ms+0: C.4 tests done +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: C.5 tests done +0ms+0: NUMERIC_STD.">": null argument detected, returning FALSE +0ms+0: C.6 tests done +0ms+0: NUMERIC_STD."<": null argument detected, returning FALSE +0ms+0: NUMERIC_STD."<": null argument detected, returning FALSE +0ms+0: C.7 tests done +0ms+0: NUMERIC_STD."<": null argument detected, returning FALSE +0ms+0: C.8 tests done +0ms+0: NUMERIC_STD."<": null argument detected, returning FALSE +0ms+0: C.11 tests done +0ms+0: C.12 tests done +0ms+0: NUMERIC_STD."<": null argument detected, returning FALSE +0ms+0: C.9 tests done +0ms+0: NUMERIC_STD."<": null argument detected, returning FALSE +0ms+0: C.10 tests done +0ms+0: NUMERIC_STD."=": null argument detected, returning FALSE +0ms+0: NUMERIC_STD."=": metavalue detected, returning FALSE +0ms+0: NUMERIC_STD."=": null argument detected, returning FALSE +0ms+0: NUMERIC_STD."=": metavalue detected, returning FALSE +0ms+0: c.28, c.30 tests done +0ms+0: END OF test suite tb3 diff --git a/test/regress/gold/ieee9.txt b/test/regress/gold/ieee9.txt index 4c1378c2..3b46721b 100644 --- a/test/regress/gold/ieee9.txt +++ b/test/regress/gold/ieee9.txt @@ -1,5 +1,5 @@ -0ms+0: Report Note: -9.96484375 -0ms+0: Report Note: -2551 -0ms+0: Report Note: -9.96484375 -0ms+0: Report Note: -2551 -0ms+0: Report Note: -9.96484375 +0ms+0: -9.96484375 +0ms+0: -2551 +0ms+0: -9.96484375 +0ms+0: -2551 +0ms+0: -9.96484375 diff --git a/test/regress/gold/image1.txt b/test/regress/gold/image1.txt index 2c3bd63f..94342321 100644 --- a/test/regress/gold/image1.txt +++ b/test/regress/gold/image1.txt @@ -1,16 +1,16 @@ -0ms+0: Report Note: 4 -0ms+0: Report Note: -42 -0ms+0: Report Note: 73 -0ms+0: Report Note: i=73 units -0ms+0: Report Note: 'c' -0ms+0: Report Note: 'X' -10ps+0: Report Note: 10000 fs -1010ps+0: Report Note: a -1010ps+0: Report Note: c -1010ps+0: Report Note: a -1010ps+0: Report Note: 3 -1010ps+0: Report Note: 20 unit_1 -1010ps+0: Report Note: 0 -1010ps+0: Report Note: 10 -1010ps+0: Report Note: -2147483648 -1010ps+0: Report Note: 2147483647 +0ms+0: 4 +0ms+0: -42 +0ms+0: 73 +0ms+0: i=73 units +0ms+0: 'c' +0ms+0: 'X' +10ps+0: 10000 fs +1010ps+0: a +1010ps+0: c +1010ps+0: a +1010ps+0: 3 +1010ps+0: 20 unit_1 +1010ps+0: 0 +1010ps+0: 10 +1010ps+0: -2147483648 +1010ps+0: 2147483647 diff --git a/test/regress/gold/issue101.txt b/test/regress/gold/issue101.txt index 9629e5c7..675ca2ba 100644 --- a/test/regress/gold/issue101.txt +++ b/test/regress/gold/issue101.txt @@ -1,6 +1,6 @@ -0ms+54: Report Note: RESULT = 536870912 -0ms+55: Report Note: RESULT = 805306368 -0ms+56: Report Note: RESULT = 1073741824 +0ms+54: RESULT = 536870912 +0ms+55: RESULT = 805306368 +0ms+56: RESULT = 1073741824 result of 1610612736 + 536870912 cannot be represented as INTEGER | 16 | RESULT <= signal1 + signal2 + signal3; diff --git a/test/regress/gold/issue185.txt b/test/regress/gold/issue185.txt index 30f89949..16114af9 100644 --- a/test/regress/gold/issue185.txt +++ b/test/regress/gold/issue185.txt @@ -1,20 +1,20 @@ -0ms+0: Report Note: record parameter does not work -0ms+0: Report Note: 0 -0ms+1: Report Note: 0 -0ms+1: Report Note: 1 -0ms+2: Report Note: 1 -0ms+2: Report Note: integer parameter works -0ms+2: Report Note: 0 -0ms+3: Report Note: 0 -0ms+3: Report Note: 1 -0ms+4: Report Note: 1 -0ms+4: Report Note: string parameter works -0ms+4: Report Note: hello -0ms+5: Report Note: hello -0ms+5: Report Note: foobar -0ms+6: Report Note: foobar -0ms+6: Report Note: bit_vector parameter works -0ms+6: Report Note: '0' -0ms+7: Report Note: '0' -0ms+7: Report Note: '1' -0ms+8: Report Note: '1' +0ms+0: record parameter does not work +0ms+0: 0 +0ms+1: 0 +0ms+1: 1 +0ms+2: 1 +0ms+2: integer parameter works +0ms+2: 0 +0ms+3: 0 +0ms+3: 1 +0ms+4: 1 +0ms+4: string parameter works +0ms+4: hello +0ms+5: hello +0ms+5: foobar +0ms+6: foobar +0ms+6: bit_vector parameter works +0ms+6: '0' +0ms+7: '0' +0ms+7: '1' +0ms+8: '1' diff --git a/test/regress/gold/issue234.txt b/test/regress/gold/issue234.txt index 1752068e..8429720f 100644 --- a/test/regress/gold/issue234.txt +++ b/test/regress/gold/issue234.txt @@ -1,2 +1,2 @@ -0ms+0: Report Note: ok -0ms+0: Report Note: ok +0ms+0: ok +0ms+0: ok diff --git a/test/regress/gold/issue377.txt b/test/regress/gold/issue377.txt index df0eacdb..4624d702 100644 --- a/test/regress/gold/issue377.txt +++ b/test/regress/gold/issue377.txt @@ -1,2 +1,2 @@ -0ms+0: Assertion Warning: There is an 'U'|'X' -1ns+1: Assertion Warning: There is an 'U'|'X' +0ms+0: There is an 'U'|'X' +1ns+1: There is an 'U'|'X' diff --git a/test/regress/gold/issue433.txt b/test/regress/gold/issue433.txt index 43d40946..158d5fad 100644 --- a/test/regress/gold/issue433.txt +++ b/test/regress/gold/issue433.txt @@ -1,2 +1,2 @@ -1ns+0: Assertion Note: TEST_NG:U1():OK -2ns+0: Assertion Note: TEST_NG:U2():OK +1ns+0: TEST_NG:U1():OK +2ns+0: TEST_NG:U2():OK diff --git a/test/regress/gold/issue45.txt b/test/regress/gold/issue45.txt index 85245f26..b5ce1bd4 100644 --- a/test/regress/gold/issue45.txt +++ b/test/regress/gold/issue45.txt @@ -1,2 +1,2 @@ -0ms+0: Report Note: :issue45(a):b:p: -0ms+0: Report Note: :issue45(a):b: +0ms+0: :issue45(a):b:p: +0ms+0: :issue45(a):b: diff --git a/test/regress/gold/issue668.txt b/test/regress/gold/issue668.txt index 0c75f37c..397abe77 100644 --- a/test/regress/gold/issue668.txt +++ b/test/regress/gold/issue668.txt @@ -1,3 +1,3 @@ -0ms+1: Report Note: 0 ==> 0 -1ns+0: Report Note: 1 ==> 1 -2ns+0: Report Note: 2 ==> 1 +0ms+1: 0 ==> 0 +1ns+0: 1 ==> 1 +2ns+0: 2 ==> 1 diff --git a/test/regress/gold/issue700.txt b/test/regress/gold/issue700.txt index bb5887dfe4df074ad54d8550010ab8ae2f932fbc..289e563d6418935eff642d12350a4c6194876e60 100644 GIT binary patch literal 22 ecmaDfq2bYl29L}~6B-{)Sjfe|;E~B#&jkRAeF@