]> git.nickg.me.uk Git - nvc.git/commit
Enable 64-bit integers in VHDL-2019
authorNick Gasson <nick@nickg.me.uk>
Sat, 22 Apr 2023 09:02:52 +0000 (10:02 +0100)
committerNick Gasson <nick@nickg.me.uk>
Sat, 22 Apr 2023 09:02:52 +0000 (10:02 +0100)
commit7698fcaa8d13e4d59f8be4f33115374a44531f31
tree23784f2401656c2936ac6f3c54ad38f700345ad5
parent22088689bbecc0aaec41c762556d8482e43a1bfd
Enable 64-bit integers in VHDL-2019
NEWS.md
lib/std.19/standard.vhd
src/rt/stdenv.c
test/regress/integer2.vhd [new file with mode: 0644]
test/regress/testlist.txt
www/features.html.in