]> git.nickg.me.uk Git - nvc.git/shortlog
nvc.git
2024-02-13 Nick GassonBump OSVVM and UVVM to latest released versions
2024-02-12 Nick GassonFix crash with external name and component declarations
2024-02-12 Nick GassonDowngrade purity checks to warning with --relaxed....
2024-02-12 Nick GassonMissing error impure function called indirectly via...
2024-02-10 Nick GassonFix incorrect 'last_value result with multiple sources
2024-02-10 Nick GassonUse a pseudo-source for scheduled deposits
2024-02-10 Nick GassonUse a flag bit on rt_signal_t to hold register/bus...
2024-02-08 Nick GassonCreate aliases for collapsed signals with globally...
2024-02-08 Nick GassonImprove error messages when mmap fails
2024-02-05 Nick GassonAllow use of type alias in slice name. Fixes #845
2024-02-04 Nick GassonRewrite implementation of foreign functions
2024-02-04 Nick GassonAdd wrapper functions for predefined file operations
2024-02-04 Nick GassonSync NEWS.md from 1.11 branch
2024-02-03 Nick GassonAllow port collapsing in component instantiations
2024-02-03 Nick GassonSeparate inner and outer block statement for component...
2024-02-03 Nick GassonImprove checking for configuration specification
2024-02-03 Nick GassonFix incorrect effective value with inout port conversion
2024-02-03 Nick GassonCode generation error when component generic references...
2024-02-03 Nick GassonFix download-artifact version in test-osvvm workflow
2024-01-28 Nick GassonFix deprecation warnings in GitHub Actions workflow
2024-01-28 Nick GassonAvoid multiple evaluation of range bounds
2024-01-28 Nick GassonOptimise vcode load op in more cases
2024-01-28 Nick GassonNew approach to translating Verilog modules
2024-01-27 Nick GassonAdjust encoding of Verilog logic values
2024-01-26 Nick GassonAdd support for inertial keyword in port maps. Issue...
2024-01-26 Nick GassonRework implementation of conversions in port maps....
2024-01-21 Nick GassonFix aggregate bounds calculation with concatenation
2024-01-21 Nick GassonAdd accelerated SHA1 using ARMv8 crypto extension
2024-01-19 Nick GassonFix crash dumping subtype that cannot be represented...
2024-01-18 Nick GassonAvoid crash with 'SUBTYPE attribute. Fixes #837
2024-01-18 Nick GassonFix error getting resolved value of array-of-record...
2024-01-17 Nick GassonUse fatal_trace consistently for internal errors
2024-01-17 Nick GassonEnsure port map expression has globally static subtype
2024-01-17 Nick GassonCheck prefix of indexed or slice name is a name or...
2024-01-17 Nick GassonAllow the --std option to control which standard the...
2024-01-16 Nick GassonFinish implementation of guarded blocks and disconnecti...
2024-01-15 Nick GassonImprove error message with OPEN in generic map
2024-01-15 Nick GassonFix crash when generic default value depends on another...
2024-01-15 Nick GassonSimplify logic in lower_direct_mapped_port
2024-01-15 Nick GassonMissing array length check for certain collapsed ports
2024-01-13 Nick GassonMissing check for A_SLICE in build_wait
2024-01-13 Nick GassonFix integer overflow warning with GCC 12
2024-01-13 Nick GassonMake tree_assert_kind a no-op on release builds
2024-01-13 Nick GassonAdd separate assoc_kind_t for 2008 slices and concatena...
2024-01-13 Nick GassonRefactor parse rules to avoid use of look_for
2024-01-13 BlebowskiCov different top hierarchies (#828)
2024-01-13 Nick GassonFix memory corruption evaluating aggregate with range...
2024-01-12 Nick GassonFix incorrect aggregate direction with range associatio...
2024-01-10 Nick GassonConsider aggregate choice expressions when building...
2024-01-08 Nick GassonFurther restrictions on collapsing output ports. Issue...
2024-01-07 Nick GassonParsing for anonymous types in interface declarations
2024-01-07 Nick GassonOnly call simplify_global once in elab_instance
2024-01-04 Nick GassonSync NEWS.md from 1.11 branch
2024-01-02 sean-anderson... Bump JIT_MAX_ARGS (#822)
2024-01-02 Nick GassonFix crash simplifying if-statement. Issue #821
2024-01-02 Nick GassonFix longest static prefix calculation with constant...
2024-01-01 Nick GassonAdd optimised SHA1 implementation using SSE intrinsics
2023-12-30 Nick GassonImprove checking for expanded names
2023-12-30 Nick GassonMore fixes for 2019 improved type generics
2023-12-30 Nick GassonAllow alias of type attribute
2023-12-29 Nick GassonFix crash with aliased enumeration literal in case...
2023-12-29 Nick GassonFix calculation of aggregate bounds with concatenation
2023-12-28 Nick GassonAdd a simple test for 2019 array type generics
2023-12-28 Nick GassonImplement vhpiIsNullP and vhpiIsDiscreteP for ranges
2023-12-23 Nick GassonDo not allow 'VALUE and 'IMAGE for non-scalar types...
2023-12-20 Nick GassonAvoid illegal characters in design unit file names...
2023-12-20 Nick GassonIncorrect length check for non-static port map actual...
2023-12-18 Nick GassonUse lower_get_type_bounds in more places
2023-12-16 Nick GassonAvoid repeated bounds evaluation for array-of-array
2023-12-16 Nick GassonSync NEWS.md from 1.11 branch
2023-12-16 Nick GassonInclude Git SHA in version output when no tags available
2023-12-13 Nick GassonFix crash when subtype bounds depend on package instanc...
2023-12-13 Nick GassonCorrect 'VALUE behaviour for arrays of character literals
2023-12-13 Nick GassonAvoid multiple evaluation of subtype bounds
2023-12-12 sean-anderson... vhpi: Support types from package instances (#814)
2023-12-10 Nick GassonReport error when object has unconstrained generic...
2023-12-10 Nick GassonAdd new module to handle instancing
2023-12-09 Nick GassonRemove vcode serialisation
2023-12-09 Nick GassonMake --jit work without --no-save
2023-12-09 Nick GassonRestore interpreter-only mode
2023-12-08 Nick GassonPass unit_registry_t instance into TCL shell
2023-12-07 Nick GassonCorrect type check in lower_port_ref
2023-12-07 Nick GassonFix crash when simplifying if-statement. Issue #812
2023-12-07 Nick GassonBump version to 1.12-devel
2023-12-06 Nick GassonUpdate for 1.11 release r1.11.0
2023-12-05 Nick GassonMissing length check for string literal
2023-12-05 Nick GassonFix crash elaborating port map
2023-12-05 Nick GassonCall TCL_Init on TCL interpreter object
2023-12-04 Nick GassonFix regression passing unconstrained port to procedure
2023-12-03 Nick GassonBuild VHPI subtypes dynamically for unconstrained ports...
2023-12-02 Nick GassonFix crash with generic SFIXED example. Fixes #809
2023-12-01 Nick GassonConstrain ports during code generation. Fixes #809
2023-11-30 Nick GassonFix 'SUBTYPE for array-of-record
2023-11-30 Nick GassonArithmetic identities for **
2023-11-30 Nick GassonSimplify logic in lower_ports
2023-11-30 Nick GassonFix argument order to lower_check_array_sizes
2023-11-30 Nick GassonCorrect VHDL-2008 rules for globally static attributes
2023-11-29 Nick GassonAdd separate entry point for scheduling process after...
2023-11-29 Nick GassonAvoid an extra runtime call when suspending procedure
2023-11-27 Nick GassonDisable reflect5 test on FreeBSD
next