]> git.nickg.me.uk Git - nvc.git/log
nvc.git
2 weeks agoMore fixes for bin names ucis
Nick Gasson [Sun, 14 Apr 2024 10:54:08 +0000 (11:54 +0100)]
More fixes for bin names

2 weeks agoBug fixes
Nick Gasson [Sun, 14 Apr 2024 08:13:23 +0000 (09:13 +0100)]
Bug fixes

2 weeks agoMore APIs and test
Nick Gasson [Sat, 13 Apr 2024 14:08:31 +0000 (15:08 +0100)]
More APIs and test

2 weeks agoSplit into multiple files
Nick Gasson [Tue, 9 Apr 2024 20:11:19 +0000 (21:11 +0100)]
Split into multiple files

2 weeks agoUse a string table
Nick Gasson [Mon, 8 Apr 2024 15:55:26 +0000 (16:55 +0100)]
Use a string table

2 weeks agoFix ucdbdump build
Nick Gasson [Mon, 8 Apr 2024 11:57:27 +0000 (12:57 +0100)]
Fix ucdbdump build

2 weeks agoWIP UCIS implementation
Nick Gasson [Sun, 7 Apr 2024 21:25:18 +0000 (22:25 +0100)]
WIP UCIS implementation

2 weeks agoRefactor statement coverage emission
Nick Gasson [Sun, 14 Apr 2024 16:11:31 +0000 (17:11 +0100)]
Refactor statement coverage emission

2 weeks agoIf/case statements do not count for statement coverage
Nick Gasson [Sun, 14 Apr 2024 15:47:36 +0000 (16:47 +0100)]
If/case statements do not count for statement coverage

2 weeks agoAdd some labels to blocks in cover9 test
Nick Gasson [Sun, 14 Apr 2024 11:30:19 +0000 (12:30 +0100)]
Add some labels to blocks in cover9 test

3 weeks agoMerge duplicated irgen_op_cover_stmt and irgen_op_cover_branch
Nick Gasson [Sat, 13 Apr 2024 10:18:23 +0000 (11:18 +0100)]
Merge duplicated irgen_op_cover_stmt and irgen_op_cover_branch

3 weeks agoRefactor branch coverage to use counter rather than bit flags
Nick Gasson [Sat, 13 Apr 2024 09:56:25 +0000 (10:56 +0100)]
Refactor branch coverage to use counter rather than bit flags

Co-authored-by: Blebowski <34539154+Blebowski@users.noreply.github.com>
3 weeks agoFix crash dumping array-of-array-of-record. Fixes #878
Nick Gasson [Wed, 10 Apr 2024 19:12:17 +0000 (20:12 +0100)]
Fix crash dumping array-of-array-of-record. Fixes #878

3 weeks agoHandle force/release in all-sensitised process. Fixes #877
Nick Gasson [Tue, 9 Apr 2024 20:41:00 +0000 (21:41 +0100)]
Handle force/release in all-sensitised process. Fixes #877

3 weeks agoAdd a utility to format time strings
Nick Gasson [Sun, 7 Apr 2024 18:48:48 +0000 (19:48 +0100)]
Add a utility to format time strings

4 weeks agoBump minimum autoconf and automake versions
Nick Gasson [Sun, 7 Apr 2024 09:31:47 +0000 (10:31 +0100)]
Bump minimum autoconf and automake versions

4 weeks agoFix regex for Windows installer version
Nick Gasson [Sun, 7 Apr 2024 08:45:43 +0000 (09:45 +0100)]
Fix regex for Windows installer version

4 weeks agoUpdates for 1.12 release r1.12.0
Nick Gasson [Sun, 7 Apr 2024 08:33:42 +0000 (09:33 +0100)]
Updates for 1.12 release

4 weeks agoInclude TCL stack trace when --do fails
Nick Gasson [Sun, 7 Apr 2024 08:29:17 +0000 (09:29 +0100)]
Include TCL stack trace when --do fails

4 weeks agoUpdate manual for VHPI subprograms
Nick Gasson [Sun, 7 Apr 2024 08:29:08 +0000 (09:29 +0100)]
Update manual for VHPI subprograms

4 weeks agoAdd reusable action for Windows installer
Nick Gasson [Thu, 4 Apr 2024 19:13:21 +0000 (20:13 +0100)]
Add reusable action for Windows installer

4 weeks agoDo not advertise PSL support since it is not yet complete. Issue #834
Nick Gasson [Thu, 4 Apr 2024 19:07:56 +0000 (20:07 +0100)]
Do not advertise PSL support since it is not yet complete. Issue #834

4 weeks agoBundle TCL init scripts with Windows installer
Nick Gasson [Tue, 2 Apr 2024 20:54:34 +0000 (21:54 +0100)]
Bundle TCL init scripts with Windows installer

4 weeks agoDo not use built-in make rules
Nick Gasson [Tue, 2 Apr 2024 20:21:04 +0000 (21:21 +0100)]
Do not use built-in make rules

4 weeks agoFix several crashes after errors in package generics
Nick Gasson [Tue, 2 Apr 2024 20:18:50 +0000 (21:18 +0100)]
Fix several crashes after errors in package generics

4 weeks agoImprove error for duplicate declaration due to homograph. Fixes #875
Nick Gasson [Tue, 2 Apr 2024 18:46:18 +0000 (19:46 +0100)]
Improve error for duplicate declaration due to homograph. Fixes #875

4 weeks agoAdd basic support for VHPI foreign subprograms
Nick Gasson [Mon, 1 Apr 2024 13:57:19 +0000 (14:57 +0100)]
Add basic support for VHPI foreign subprograms

4 weeks agoFix more corner cases with aggregate subtype calculation. Fixes #874
Nick Gasson [Mon, 1 Apr 2024 10:19:18 +0000 (11:19 +0100)]
Fix more corner cases with aggregate subtype calculation. Fixes #874

4 weeks agoAdd a separate tree kind for element resolution indication
Nick Gasson [Mon, 1 Apr 2024 09:30:13 +0000 (10:30 +0100)]
Add a separate tree kind for element resolution indication

5 weeks agoRefactor calculation of aggregate bounds. Fixes #837
Nick Gasson [Fri, 29 Mar 2024 15:22:29 +0000 (15:22 +0000)]
Refactor calculation of aggregate bounds. Fixes #837

5 weeks agoRemove unused variable in vhpi_build_design_model
Nick Gasson [Fri, 29 Mar 2024 15:27:40 +0000 (15:27 +0000)]
Remove unused variable in vhpi_build_design_model

5 weeks agoImplement vhpi_get_real
Nick Gasson [Thu, 28 Mar 2024 19:03:20 +0000 (19:03 +0000)]
Implement vhpi_get_real

5 weeks agoImplement vhpi_get_cb_info
Nick Gasson [Thu, 28 Mar 2024 18:24:27 +0000 (18:24 +0000)]
Implement vhpi_get_cb_info

5 weeks agoImplement vhpi_get_next_time
Nick Gasson [Thu, 28 Mar 2024 18:18:09 +0000 (18:18 +0000)]
Implement vhpi_get_next_time

5 weeks agoRemoving pending field from VHPI c_callback
Nick Gasson [Thu, 28 Mar 2024 18:04:41 +0000 (18:04 +0000)]
Removing pending field from VHPI c_callback

5 weeks agoEnsure VHPI handles are never reused
Nick Gasson [Thu, 28 Mar 2024 15:37:04 +0000 (15:37 +0000)]
Ensure VHPI handles are never reused

5 weeks agoSimplify disabling VHPI callbacks
Nick Gasson [Thu, 28 Mar 2024 15:10:16 +0000 (15:10 +0000)]
Simplify disabling VHPI callbacks

5 weeks agoFix some corner cases enabling/disabling VHPI callbacks
Nick Gasson [Thu, 28 Mar 2024 15:00:29 +0000 (15:00 +0000)]
Fix some corner cases enabling/disabling VHPI callbacks

5 weeks agoEnsure RT_NEXT_TIME_STEP event triggers on the first cycle
Nick Gasson [Thu, 28 Mar 2024 14:10:11 +0000 (14:10 +0000)]
Ensure RT_NEXT_TIME_STEP event triggers on the first cycle

5 weeks agoReplace vhpiHandleT with a reference counted handle
Nick Gasson [Thu, 28 Mar 2024 11:59:08 +0000 (11:59 +0000)]
Replace vhpiHandleT with a reference counted handle

5 weeks agoImplement vhpiDesignUnit association for instances
Nick Gasson [Wed, 27 Mar 2024 12:36:34 +0000 (12:36 +0000)]
Implement vhpiDesignUnit association for instances

5 weeks agoRemove sem_int_lit helper function
Nick Gasson [Wed, 27 Mar 2024 10:09:51 +0000 (10:09 +0000)]
Remove sem_int_lit helper function

5 weeks agoFix crash in vhpi_iterator with --vhpi-trace
Nick Gasson [Wed, 27 Mar 2024 10:03:47 +0000 (10:03 +0000)]
Fix crash in vhpi_iterator with --vhpi-trace

5 weeks agoSuppress error for hidden declarations with --relaxed. Fixes #870
Nick Gasson [Tue, 26 Mar 2024 23:05:59 +0000 (23:05 +0000)]
Suppress error for hidden declarations with --relaxed. Fixes #870

5 weeks agoImprove checking for incomplete type declarations
Nick Gasson [Tue, 26 Mar 2024 22:06:39 +0000 (22:06 +0000)]
Improve checking for incomplete type declarations

5 weeks agoFix undefined behaviour dumping >32 bit integers to FST. Issue #869
Nick Gasson [Mon, 25 Mar 2024 20:54:53 +0000 (20:54 +0000)]
Fix undefined behaviour dumping >32 bit integers to FST. Issue #869

5 weeks agoRun all cocotb regression tests in GitHub Actions
Nick Gasson [Mon, 25 Mar 2024 20:43:02 +0000 (20:43 +0000)]
Run all cocotb regression tests in GitHub Actions

5 weeks agoFix crashes with vhpiDepositPropagate
Nick Gasson [Mon, 25 Mar 2024 20:04:49 +0000 (20:04 +0000)]
Fix crashes with vhpiDepositPropagate

6 weeks agoBump default standard version to 2008
Nick Gasson [Sat, 23 Mar 2024 13:39:04 +0000 (13:39 +0000)]
Bump default standard version to 2008

6 weeks agoAlso trace address sanitizer fake stack in mspace_gc
Nick Gasson [Sat, 23 Mar 2024 12:34:47 +0000 (12:34 +0000)]
Also trace address sanitizer fake stack in mspace_gc

6 weeks agoFix some boundary cases in mask_iter
Nick Gasson [Sat, 23 Mar 2024 09:48:14 +0000 (09:48 +0000)]
Fix some boundary cases in mask_iter

6 weeks agoAdd some additional allocation benchmarks
Nick Gasson [Sat, 23 Mar 2024 12:16:56 +0000 (12:16 +0000)]
Add some additional allocation benchmarks

6 weeks agoFix load address for symbols pointing to PE sections
Nick Gasson [Thu, 21 Mar 2024 21:31:50 +0000 (21:31 +0000)]
Fix load address for symbols pointing to PE sections

This reverts commit a16b40026cb4c62704b75fbfd59cc8ffc3ef5ad5.

6 weeks agoVHPI discovery in for-generate broken since 7dd41. Fixes #868
Nick Gasson [Wed, 20 Mar 2024 21:46:53 +0000 (21:46 +0000)]
VHPI discovery in for-generate broken since 7dd41. Fixes #868

6 weeks agoMake sure entity is rewritten before architecture. Issue #867
Nick Gasson [Wed, 20 Mar 2024 21:14:30 +0000 (21:14 +0000)]
Make sure entity is rewritten before architecture. Issue #867

6 weeks agoTemporarily disable JIT mode testing on Windows
Nick Gasson [Wed, 20 Mar 2024 21:48:15 +0000 (21:48 +0000)]
Temporarily disable JIT mode testing on Windows

6 weeks agoRemove deprecated rt_tlab_alloc
Nick Gasson [Mon, 18 Mar 2024 16:41:36 +0000 (16:41 +0000)]
Remove deprecated rt_tlab_alloc

7 weeks agoAlso pass -mno-omit-leaf-frame-pointer with --enable-frame-pointer
Nick Gasson [Sun, 17 Mar 2024 10:10:59 +0000 (10:10 +0000)]
Also pass -mno-omit-leaf-frame-pointer with --enable-frame-pointer

7 weeks agoFix assertion failure in jit_do_mem2reg running OSVVM tests
Nick Gasson [Thu, 14 Mar 2024 22:09:57 +0000 (22:09 +0000)]
Fix assertion failure in jit_do_mem2reg running OSVVM tests

7 weeks agoSkip over record element constraints when building VHPI model
Nick Gasson [Thu, 14 Mar 2024 19:56:57 +0000 (19:56 +0000)]
Skip over record element constraints when building VHPI model

Issue #866

7 weeks agoDump arrays-of-records when --dump-arrays is passed. Issue 856
Nick Gasson [Wed, 13 Mar 2024 20:02:03 +0000 (20:02 +0000)]
Dump arrays-of-records when --dump-arrays is passed. Issue 856

7 weeks agoSimplify implementation of unit_registry_flush
Nick Gasson [Wed, 13 Mar 2024 19:37:53 +0000 (19:37 +0000)]
Simplify implementation of unit_registry_flush

7 weeks agoCode generation crash with nested package instances. Fixes #858
Nick Gasson [Tue, 12 Mar 2024 22:08:06 +0000 (22:08 +0000)]
Code generation crash with nested package instances. Fixes #858

8 weeks agoImprove locking benchmark
Nick Gasson [Fri, 8 Mar 2024 16:59:35 +0000 (16:59 +0000)]
Improve locking benchmark

8 weeks agoFix crash when collapsing unconstrained port. Fixes #859
Nick Gasson [Fri, 8 Mar 2024 15:23:23 +0000 (15:23 +0000)]
Fix crash when collapsing unconstrained port. Fixes #859

8 weeks agoFix crash after error in external name. Fixes #860
Nick Gasson [Fri, 8 Mar 2024 14:29:59 +0000 (14:29 +0000)]
Fix crash after error in external name. Fixes #860

8 weeks agoAllow any integer type for dimension attribute in --relaxed mode
Nick Gasson [Fri, 8 Mar 2024 14:11:00 +0000 (14:11 +0000)]
Allow any integer type for dimension attribute in --relaxed mode

Issue #862

8 weeks agoAttribute dimension not checked for unconstrained array types
Nick Gasson [Fri, 8 Mar 2024 14:05:21 +0000 (14:05 +0000)]
Attribute dimension not checked for unconstrained array types

Fixes #863

8 weeks agoLost update to FILE_OPEN STATUS output. Fixes #864
Nick Gasson [Thu, 7 Mar 2024 21:28:41 +0000 (21:28 +0000)]
Lost update to FILE_OPEN STATUS output. Fixes #864

8 weeks agoUse Windows API directly instead of winpthreads wrapper. Fixes #861
Nick Gasson [Thu, 7 Mar 2024 19:57:59 +0000 (19:57 +0000)]
Use Windows API directly instead of winpthreads wrapper. Fixes #861

8 weeks agoFix typo introduced by b52c576. Issue #857
Nick Gasson [Wed, 6 Mar 2024 21:17:04 +0000 (21:17 +0000)]
Fix typo introduced by b52c576. Issue #857

8 weeks agoDisable Windows testing temporarily. Issue #861
Nick Gasson [Wed, 6 Mar 2024 21:22:09 +0000 (21:22 +0000)]
Disable Windows testing temporarily. Issue #861

8 weeks agoFix several issues with array view handling. Issue #856
Nick Gasson [Tue, 5 Mar 2024 22:05:18 +0000 (22:05 +0000)]
Fix several issues with array view handling. Issue #856

8 weeks agoRewrite external names earlier during elaboration. Fixes #855
Nick Gasson [Tue, 5 Mar 2024 21:09:21 +0000 (21:09 +0000)]
Rewrite external names earlier during elaboration. Fixes #855

8 weeks agoStore only the instance name in T_HIER
Nick Gasson [Sun, 3 Mar 2024 21:41:38 +0000 (21:41 +0000)]
Store only the instance name in T_HIER

8 weeks agoUpdate to latest version of ax_pthread.m4
Nick Gasson [Wed, 6 Mar 2024 20:15:27 +0000 (20:15 +0000)]
Update to latest version of ax_pthread.m4

2 months agoRemove duplicated code for top-level elaboration
Nick Gasson [Sun, 3 Mar 2024 18:27:14 +0000 (18:27 +0000)]
Remove duplicated code for top-level elaboration

2 months agoRemove special cases for top-level generics/ports
Nick Gasson [Sun, 3 Mar 2024 18:11:07 +0000 (18:11 +0000)]
Remove special cases for top-level generics/ports

2 months agoAlways look up 'instance_name and 'path_name dynamically
Nick Gasson [Sun, 3 Mar 2024 17:50:13 +0000 (17:50 +0000)]
Always look up 'instance_name and 'path_name dynamically

2 months agoFix crash when view element indication references whole record
Nick Gasson [Sat, 2 Mar 2024 11:59:47 +0000 (11:59 +0000)]
Fix crash when view element indication references whole record

Fixes #854

2 months agoDeferred subprogram instantiation for packages. Fixes #654
Nick Gasson [Sat, 2 Mar 2024 11:24:01 +0000 (11:24 +0000)]
Deferred subprogram instantiation for packages. Fixes #654

2 months agoRefactor resolving of subprogram names
Nick Gasson [Fri, 1 Mar 2024 22:05:48 +0000 (22:05 +0000)]
Refactor resolving of subprogram names

2 months agoVerilog bit selects
Nick Gasson [Thu, 29 Feb 2024 19:24:23 +0000 (19:24 +0000)]
Verilog bit selects

2 months agoMissing static bounds checks for some index constraints
Nick Gasson [Wed, 28 Feb 2024 19:12:50 +0000 (19:12 +0000)]
Missing static bounds checks for some index constraints

2 months agoIncorrect record field length in FST dump. Fixes #852
Nick Gasson [Wed, 28 Feb 2024 13:40:19 +0000 (13:40 +0000)]
Incorrect record field length in FST dump. Fixes #852

2 months agoImplement Verilog case equality
Nick Gasson [Tue, 27 Feb 2024 21:43:52 +0000 (21:43 +0000)]
Implement Verilog case equality

2 months agoLook up signal bounds dynamically in FST dumper. Issue #851
Nick Gasson [Tue, 27 Feb 2024 18:07:41 +0000 (18:07 +0000)]
Look up signal bounds dynamically in FST dumper. Issue #851

2 months agoSimplify handling of element constraints in FST dumper
Nick Gasson [Tue, 27 Feb 2024 18:07:41 +0000 (18:07 +0000)]
Simplify handling of element constraints in FST dumper

2 months agoRun apt-get update before installing dependencies in GHA workflow
Nick Gasson [Tue, 27 Feb 2024 18:19:12 +0000 (18:19 +0000)]
Run apt-get update before installing dependencies in GHA workflow

2 months agoVerilog number parsing and printing improvements
Nick Gasson [Mon, 26 Feb 2024 20:41:22 +0000 (20:41 +0000)]
Verilog number parsing and printing improvements

2 months agoAdd some basic support for Verilog module instantiation
Nick Gasson [Sun, 25 Feb 2024 19:12:36 +0000 (19:12 +0000)]
Add some basic support for Verilog module instantiation

2 months agoRefactor parsing of Verilog port declarations
Nick Gasson [Sun, 25 Feb 2024 16:18:56 +0000 (16:18 +0000)]
Refactor parsing of Verilog port declarations

2 months agoAdd support for Verilog supply net type. Issue #808
Nick Gasson [Sat, 24 Feb 2024 11:04:25 +0000 (11:04 +0000)]
Add support for Verilog supply net type. Issue #808

2 months agoIntroduce a cache for translated Verilog modules
Nick Gasson [Sat, 24 Feb 2024 10:42:23 +0000 (10:42 +0000)]
Introduce a cache for translated Verilog modules

2 months agoAdd Canola project to regression tests
Nick Gasson [Sat, 24 Feb 2024 10:40:17 +0000 (10:40 +0000)]
Add Canola project to regression tests

2 months agoAvoid calling memcpy when updating single-element signals
Nick Gasson [Fri, 23 Feb 2024 15:48:00 +0000 (15:48 +0000)]
Avoid calling memcpy when updating single-element signals

2 months agoSchedule initial process execution in reset_process
Nick Gasson [Fri, 23 Feb 2024 14:27:06 +0000 (14:27 +0000)]
Schedule initial process execution in reset_process

2 months agoAllow use of triggers for more process types
Nick Gasson [Fri, 23 Feb 2024 14:12:13 +0000 (14:12 +0000)]
Allow use of triggers for more process types

2 months agoUse triggers for sensitised VHDL processes
Nick Gasson [Fri, 23 Feb 2024 11:11:07 +0000 (11:11 +0000)]
Use triggers for sensitised VHDL processes

2 months agoVerilog pullup and pulldown gate instances
Nick Gasson [Thu, 22 Feb 2024 16:36:45 +0000 (16:36 +0000)]
Verilog pullup and pulldown gate instances